✗ Fi.CI.BAT: failure for series starting with [01/67] drm/i915/gt: Ignore irq enabling on the virtual engines

Patchwork patchwork at emeril.freedesktop.org
Sat Jul 11 23:12:43 UTC 2020


== Series Details ==

Series: series starting with [01/67] drm/i915/gt: Ignore irq enabling on the virtual engines
URL   : https://patchwork.freedesktop.org/series/79385/
State : failure

== Summary ==

CI Bug Log - changes from CI_DRM_8732 -> Trybot_6773
====================================================

Summary
-------

  **FAILURE**

  Serious unknown changes coming with Trybot_6773 absolutely need to be
  verified manually.
  
  If you think the reported changes have nothing to do with the changes
  introduced in Trybot_6773, please notify your bug team to allow them
  to document this new failure mode, which will reduce false positives in CI.

  External URL: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/index.html

Possible new issues
-------------------

  Here are the unknown changes that may have been introduced in Trybot_6773:

### IGT changes ###

#### Possible regressions ####

  * igt at i915_selftest@live at execlists:
    - fi-cfl-8109u:       [PASS][1] -> [INCOMPLETE][2]
   [1]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-cfl-8109u/igt@i915_selftest@live@execlists.html
   [2]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-cfl-8109u/igt@i915_selftest@live@execlists.html
    - fi-icl-u2:          [PASS][3] -> [INCOMPLETE][4]
   [3]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-icl-u2/igt@i915_selftest@live@execlists.html
   [4]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-icl-u2/igt@i915_selftest@live@execlists.html

  
Known issues
------------

  Here are the changes found in Trybot_6773 that come from known issues:

### IGT changes ###

#### Issues hit ####

  * igt at gem_exec_suspend@basic-s3:
    - fi-tgl-u2:          [PASS][5] -> [FAIL][6] ([i915#1888]) +1 similar issue
   [5]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-tgl-u2/igt@gem_exec_suspend@basic-s3.html
   [6]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-tgl-u2/igt@gem_exec_suspend@basic-s3.html

  * igt at i915_selftest@live at execlists:
    - fi-tgl-u2:          [PASS][7] -> [INCOMPLETE][8] ([i915#750])
   [7]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-tgl-u2/igt@i915_selftest@live@execlists.html
   [8]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-tgl-u2/igt@i915_selftest@live@execlists.html
    - fi-icl-y:           [PASS][9] -> [DMESG-FAIL][10] ([i915#656])
   [9]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-icl-y/igt@i915_selftest@live@execlists.html
   [10]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-icl-y/igt@i915_selftest@live@execlists.html

  
#### Possible fixes ####

  * igt at i915_pm_rpm@basic-pci-d3-state:
    - fi-bsw-kefka:       [DMESG-WARN][11] ([i915#1982]) -> [PASS][12]
   [11]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-bsw-kefka/igt@i915_pm_rpm@basic-pci-d3-state.html
   [12]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-bsw-kefka/igt@i915_pm_rpm@basic-pci-d3-state.html

  * igt at i915_selftest@live at gt_lrc:
    - fi-tgl-u2:          [DMESG-FAIL][13] ([i915#1233]) -> [PASS][14]
   [13]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-tgl-u2/igt@i915_selftest@live@gt_lrc.html
   [14]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-tgl-u2/igt@i915_selftest@live@gt_lrc.html

  * igt at kms_cursor_legacy@basic-busy-flip-before-cursor-atomic:
    - fi-bsw-n3050:       [DMESG-WARN][15] ([i915#1982]) -> [PASS][16]
   [15]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-bsw-n3050/igt@kms_cursor_legacy@basic-busy-flip-before-cursor-atomic.html
   [16]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-bsw-n3050/igt@kms_cursor_legacy@basic-busy-flip-before-cursor-atomic.html

  * igt at kms_pipe_crc_basic@read-crc-pipe-a-frame-sequence:
    - fi-tgl-u2:          [DMESG-WARN][17] ([i915#402]) -> [PASS][18]
   [17]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-tgl-u2/igt@kms_pipe_crc_basic@read-crc-pipe-a-frame-sequence.html
   [18]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-tgl-u2/igt@kms_pipe_crc_basic@read-crc-pipe-a-frame-sequence.html

  
#### Warnings ####

  * igt at kms_flip@basic-plain-flip at a-dp1:
    - fi-kbl-x1275:       [DMESG-WARN][19] ([i915#62] / [i915#92]) -> [DMESG-WARN][20] ([i915#62] / [i915#92] / [i915#95])
   [19]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-kbl-x1275/igt@kms_flip@basic-plain-flip@a-dp1.html
   [20]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-kbl-x1275/igt@kms_flip@basic-plain-flip@a-dp1.html

  * igt at prime_vgem@basic-fence-flip:
    - fi-kbl-x1275:       [DMESG-WARN][21] ([i915#62] / [i915#92] / [i915#95]) -> [DMESG-WARN][22] ([i915#62] / [i915#92]) +2 similar issues
   [21]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_8732/fi-kbl-x1275/igt@prime_vgem@basic-fence-flip.html
   [22]: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/fi-kbl-x1275/igt@prime_vgem@basic-fence-flip.html

  
  {name}: This element is suppressed. This means it is ignored when computing
          the status of the difference (SUCCESS, WARNING, or FAILURE).

  [i915#1233]: https://gitlab.freedesktop.org/drm/intel/issues/1233
  [i915#1423]: https://gitlab.freedesktop.org/drm/intel/issues/1423
  [i915#1436]: https://gitlab.freedesktop.org/drm/intel/issues/1436
  [i915#1569]: https://gitlab.freedesktop.org/drm/intel/issues/1569
  [i915#1784]: https://gitlab.freedesktop.org/drm/intel/issues/1784
  [i915#1888]: https://gitlab.freedesktop.org/drm/intel/issues/1888
  [i915#192]: https://gitlab.freedesktop.org/drm/intel/issues/192
  [i915#193]: https://gitlab.freedesktop.org/drm/intel/issues/193
  [i915#194]: https://gitlab.freedesktop.org/drm/intel/issues/194
  [i915#1982]: https://gitlab.freedesktop.org/drm/intel/issues/1982
  [i915#2110]: https://gitlab.freedesktop.org/drm/intel/issues/2110
  [i915#402]: https://gitlab.freedesktop.org/drm/intel/issues/402
  [i915#62]: https://gitlab.freedesktop.org/drm/intel/issues/62
  [i915#656]: https://gitlab.freedesktop.org/drm/intel/issues/656
  [i915#750]: https://gitlab.freedesktop.org/drm/intel/issues/750
  [i915#92]: https://gitlab.freedesktop.org/drm/intel/issues/92
  [i915#95]: https://gitlab.freedesktop.org/drm/intel/issues/95


Participating hosts (41 -> 34)
------------------------------

  Missing    (7): fi-ilk-m540 fi-hsw-4200u fi-byt-squawks fi-bsw-cyan fi-ctg-p8600 fi-byt-clapper fi-bdw-samus 


Build changes
-------------

  * Linux: CI_DRM_8732 -> Trybot_6773

  CI-20190529: 20190529
  CI_DRM_8732: 4c05e94181f1a8ea4c91a5bd90e81f3275b51332 @ git://anongit.freedesktop.org/gfx-ci/linux
  IGT_5732: 8a50ca9985d2dbb0293186c3286af46468fb4b84 @ git://anongit.freedesktop.org/xorg/app/intel-gpu-tools
  Trybot_6773: 066ba3ee8a96dc43823bf73f24847037fba8a60d @ git://anongit.freedesktop.org/gfx-ci/linux


== Linux commits ==

066ba3ee8a96 kcsan-lock
f3988bc3a5b6 vmscan
02c854d40fac drm/i915/gem: Remove timeline nesting from snb relocs
04e01e0bf0bb drm/i915/gt: Enable ring scheduling for gen6/7
505aeeeb386e drm/i915/gt: Implement ring scheduler for gen6/7
5724f110e385 drm/i915/gt: Infrastructure for ring scheduling
25bb1888c19e drm/i915/gt: Use client timeline address for seqno writes
50b1c44a4eeb drm/i915/gt: Support creation of 'internal' rings
3d4240976b7a drm/i915/gt: Couple tasklet scheduling for all CS interrupts
83383b310d66 Restore "drm/i915: drop engine_pin/unpin_breadcrumbs_irq"
6364c69d2124 drm/i915: Move saturated workload detection to the GT
dc78c0272d0b drm/i915: Replace the priority boosting for the display with a deadline
402440c5d6f4 drm/i915/gt: Specify a deadline for the heartbeat
750ca7f13245 drm/i915: Fair low-latency scheduling
20243f086dc3 drm/i915/gt: Remove timeslice suppression
7adfb8f900fc drm/i915: Restructure priority inheritance
eabd23eee89f drm/i915: Teach the i915_dependency to use a double-lock
9a015cb2285a drm/i915/gt: Do not suspend bonded requests if one hangs
fc3cdc193b27 drm/i915: Replace engine->schedule() with a known request operation
0f8f07611c3b drm/i915: Remove I915_USER_PRIORITY_SHIFT
1292d2976de4 drm/i915: Strip out internal priorities
34994225c2b5 drm/i915: Lift waiter/signaler iterators
fea8bb704af9 drm/i915/gt: Convert stats.active to plain unsigned int
44ad9c43d060 drm/i915/gt: Extract busy-stats for ring-scheduler
b994cce97afa drm/i915/gt: Drop atomic for engine->fw_active tracking
eacd00da8e68 drm/i915/gt: ce->inflight updates are now serialised
d6a1569e2f92 drm/i915/gt: Simplify virtual engine handling for execlists_hold()
c5b118ede45c drm/i915/gt: Resubmit the virtual engine on schedule-out
b2f197220e0a drm/i915/gt: Defer schedule_out until after the next dequeue
1195ea18f292 drm/i915/gt: Decouple inflight virtual engines
46c672469878 drm/i915/gt: Free stale request on destroying the virtual engine
917d3ac00382 drm/i915/gt: Use virtual_engine during execlists_dequeue
854e4806773c drm/i915/gt: Replace direct submit with direct call to tasklet
f886b0fc6406 drm/i915/gt: Check for a completed last request once
3c81a5ce49b5 drm/i915/gt: Decouple completed requests on unwind
b81f8c37fea6 drm/i915: Remove unused i915_gem_evict_vm()
47ed7d0601cd drm/i915/gt: Push the wait for the context to bound to the request
a40d99547199 drm/i915/gt: Acquire backing storage for the context
b8819bd6e2c5 drm/i915: Specialise GGTT binding
e29c6196dec8 drm/i915: Hold wakeref for the duration of the vma GGTT binding
dcec6032a478 drm/i915/gem: Replace i915_gem_object.mm.mutex with reservation_ww_class
393bb1f0f605 drm/i915/gem: Pull execbuf dma resv under a single critical section
e6797296667c drm/i915: Add an implementation for i915_gem_ww_ctx locking, v2.
7e2e9114caac drm/i915/gem: Reintroduce multiple passes for reloc processing
9e84e58ee3c4 drm/i915/gem: Include secure batch in common execbuf pinning
c0ca4b1c3e25 drm/i915/gem: Include cmdparser in common execbuf pinning
214beea5d0b3 drm/i915/gem: Bind the fence async for execbuf
ba40832e7387 drm/i915/gem: Asynchronous GTT unbinding
ade93ccf9708 drm/i915/gem: Separate the ww_mutex walker into its own list
678224f28ed4 drm/i915/gem: Assign context id for async work
a2c4bd023f86 drm/i915: Always defer fenced work to the worker
c7c9f3b01f06 drm/i915: Add list_for_each_entry_safe_continue_reverse
37f0b8533048 drm/i915/gem: Remove the call for no-evict i915_vma_pin
6196b915c9fe drm/i915/gem: Break apart the early i915_vma_pin from execbuf object lookup
d16464293e40 drm/i915/gem: Rename execbuf.bind_link to unbound_link
a3d4e223e040 drm/i915/gem: Don't drop the timeline lock during execbuf
ab8645584215 drm/i915: Switch to object allocations for page directories
93260f41a4bc drm/i915: Preallocate stashes for vma page-directories
2d89f19e1c8c drm/i915: Soften the tasklet flush frequency before waits
642980caa81d drm/i915: Provide a fastpath for waiting on vma bindings
3cae70a5b3e1 drm/i915: Make the stale cached active node available for any timeline
57f01c8aa37f drm/i915: Keep the most recently used active-fence upon discard
49b67a15f922 drm/i915: Export a preallocate variant of i915_active_acquire()
90a76ca25673 drm/i915: Skip taking acquire mutex for no ref->active callback
5538d7005a26 drm/i915: Add a couple of missing i915_active_fini()
6e2f0dad7dea drm/i915/gt: Always reset the engine, even if inactive, on execlists failure
2e929d74efbc drm/i915/gt: Ignore irq enabling on the virtual engines

== Logs ==

For more details see: https://intel-gfx-ci.01.org/tree/drm-tip/Trybot_6773/index.html


More information about the Intel-gfx-trybot mailing list