[Libreoffice-commits] .: Branch 'feature/gnumake4' - 89 commits - chart2/Library_chartcontroller.mk chart2/Library_chartmodel.mk chart2/Library_charttools.mk chart2/Library_chartview.mk chart2/prj chart2/source chart2/workbench scaddins/source sccomp/prj sccomp/source sc/CppunitTest_sc_test_filters.mk sc/CppunitTest_sc_ucalc.mk sc/inc sc/Library_scd.mk sc/Library_scfilt.mk sc/Library_sc.mk sc/Library_scui.mk sc/Library_vbaobj.mk sc/Module_sc.mk sc/prj sc/qa sc/source sc/uiconfig sc/workben

Bjoern Michaelsen bmichaelsen at kemper.freedesktop.org
Thu Jul 21 10:03:27 PDT 2011


 chart2/Library_chartcontroller.mk                                      |    6 
 chart2/Library_chartmodel.mk                                           |    6 
 chart2/Library_charttools.mk                                           |    6 
 chart2/Library_chartview.mk                                            |    6 
 chart2/prj/build.lst                                                   |    2 
 chart2/source/controller/chartapiwrapper/ChartDocumentWrapper.cxx      |   35 
 chart2/source/controller/dialogs/CommonResources.hrc                   |   25 
 chart2/source/controller/dialogs/tp_ChartType.src                      |    4 
 chart2/source/controller/itemsetwrapper/DataPointItemConverter.cxx     |    7 
 chart2/source/controller/itemsetwrapper/SeriesOptionsItemConverter.cxx |    7 
 chart2/source/controller/main/ChartController.cxx                      |    2 
 chart2/source/controller/main/_serviceregistration_controller.cxx      |    7 
 chart2/source/inc/exports.dxp                                          |    1 
 chart2/source/model/filter/XMLFilter.cxx                               |   28 
 chart2/source/model/main/ChartModel.cxx                                |   16 
 chart2/source/model/main/_serviceregistration_model.cxx                |    7 
 chart2/source/tools/ReferenceSizeProvider.cxx                          |   10 
 chart2/source/tools/_serviceregistration_tools.cxx                     |    7 
 chart2/source/view/main/ChartItemPool.cxx                              |    8 
 chart2/source/view/main/_serviceregistration_view.cxx                  |    7 
 chart2/workbench/addin/exports.dxp                                     |    1 
 chart2/workbench/addin/sampleaddin.cxx                                 |    6 
 chart2/workbench/addin/sampleaddin.def                                 |    1 
 sc/CppunitTest_sc_test_filters.mk                                      |  110 
 sc/CppunitTest_sc_ucalc.mk                                             |    7 
 sc/Library_sc.mk                                                       |    6 
 sc/Library_scd.mk                                                      |    6 
 sc/Library_scfilt.mk                                                   |    6 
 sc/Library_scui.mk                                                     |    6 
 sc/Library_vbaobj.mk                                                   |   19 
 sc/Module_sc.mk                                                        |    1 
 sc/inc/cell.hxx                                                        |    1 
 sc/inc/cellsuno.hxx                                                    |    7 
 sc/inc/docoptio.hxx                                                    |    8 
 sc/inc/document.hxx                                                    |    4 
 sc/inc/dpobject.hxx                                                    |    2 
 sc/inc/markdata.hxx                                                    |    8 
 sc/inc/pch/precompiled_sc.hxx                                          |  146 -
 sc/inc/scabstdlg.hxx                                                   |    2 
 sc/inc/token.hxx                                                       |    2 
 sc/inc/viewopti.hxx                                                    |    1 
 sc/prj/build.lst                                                       |    2 
 sc/qa/unit/data/slk/pass/ooo75775-1.slk                                | 1112 +++++++++
 sc/qa/unit/data/slk/pass/ooo75775-2.slk                                | 1171 ++++++++++
 sc/qa/unit/data/slk/pass/ooo80484-1.slk                                |   11 
 sc/qa/unit/filters-test.cxx                                            |  256 ++
 sc/qa/unit/ucalc.cxx                                                   |   66 
 sc/source/core/data/attarray.cxx                                       |   10 
 sc/source/core/data/cell.cxx                                           |    7 
 sc/source/core/data/documen3.cxx                                       |   39 
 sc/source/core/data/documen4.cxx                                       |   58 
 sc/source/core/data/documen8.cxx                                       |    7 
 sc/source/core/data/documen9.cxx                                       |    7 
 sc/source/core/data/document.cxx                                       |  222 +
 sc/source/core/data/dpobject.cxx                                       |   59 
 sc/source/core/data/dptabres.cxx                                       |    2 
 sc/source/core/data/drwlayer.cxx                                       |   83 
 sc/source/core/data/markdata.cxx                                       |   21 
 sc/source/core/data/table1.cxx                                         |   20 
 sc/source/core/tool/address.cxx                                        |    2 
 sc/source/core/tool/compiler.cxx                                       |   11 
 sc/source/core/tool/interpr1.cxx                                       |   47 
 sc/source/core/tool/interpr5.cxx                                       |    3 
 sc/source/core/tool/rangenam.cxx                                       |    3 
 sc/source/core/tool/rangeutl.cxx                                       |    9 
 sc/source/core/tool/token.cxx                                          |   14 
 sc/source/core/tool/viewopti.cxx                                       |   11 
 sc/source/filter/excel/excform.cxx                                     |    2 
 sc/source/filter/excel/xename.cxx                                      |   14 
 sc/source/filter/excel/xestream.cxx                                    |    5 
 sc/source/filter/excel/xiescher.cxx                                    |   50 
 sc/source/filter/excel/xiname.cxx                                      |    2 
 sc/source/filter/ftools/ftools.cxx                                     |    2 
 sc/source/filter/html/htmlpars.cxx                                     |    4 
 sc/source/filter/inc/xiescher.hxx                                      |    9 
 sc/source/filter/lotus/lotform.cxx                                     |    2 
 sc/source/filter/xml/XMLStylesExportHelper.cxx                         |    4 
 sc/source/filter/xml/xmlimprt.cxx                                      |  139 -
 sc/source/ui/Accessibility/AccessibleText.cxx                          |   14 
 sc/source/ui/app/inputhdl.cxx                                          |    5 
 sc/source/ui/app/inputwin.cxx                                          |  276 ++
 sc/source/ui/attrdlg/condfrmt.cxx                                      |    3 
 sc/source/ui/attrdlg/scdlgfact.cxx                                     |    4 
 sc/source/ui/attrdlg/scdlgfact.hxx                                     |    2 
 sc/source/ui/docshell/docfunc.cxx                                      |  682 ++---
 sc/source/ui/docshell/docsh4.cxx                                       |    2 
 sc/source/ui/docshell/editable.cxx                                     |    6 
 sc/source/ui/docshell/impex.cxx                                        |   11 
 sc/source/ui/inc/conflictsdlg.hxx                                      |   16 
 sc/source/ui/inc/inputwin.hxx                                          |   88 
 sc/source/ui/inc/namepast.hxx                                          |    2 
 sc/source/ui/inc/optdlg.hrc                                            |    3 
 sc/source/ui/inc/sharedocdlg.hxx                                       |    1 
 sc/source/ui/inc/tabview.hxx                                           |    2 
 sc/source/ui/inc/tpview.hxx                                            |    5 
 sc/source/ui/miscdlgs/conflictsdlg.cxx                                 |   21 
 sc/source/ui/miscdlgs/namepast.cxx                                     |   11 
 sc/source/ui/miscdlgs/sharedocdlg.cxx                                  |    3 
 sc/source/ui/optdlg/tpview.cxx                                         |   52 
 sc/source/ui/src/optdlg.src                                            |   21 
 sc/source/ui/undo/undoblk.cxx                                          |   22 
 sc/source/ui/undo/undoblk2.cxx                                         |   35 
 sc/source/ui/undo/undoblk3.cxx                                         |   44 
 sc/source/ui/unoobj/appluno.cxx                                        |    6 
 sc/source/ui/unoobj/cellsuno.cxx                                       |   45 
 sc/source/ui/unoobj/dapiuno.cxx                                        |    5 
 sc/source/ui/unoobj/detreg.cxx                                         |    7 
 sc/source/ui/unoobj/docuno.cxx                                         |   78 
 sc/source/ui/unoobj/tokenuno.cxx                                       |    8 
 sc/source/ui/vba/service.cxx                                           |    7 
 sc/source/ui/vba/vbaapplication.cxx                                    |   14 
 sc/source/ui/vba/vbaoleobjects.cxx                                     |    2 
 sc/source/ui/vba/vbawindow.cxx                                         |   14 
 sc/source/ui/view/cellsh1.cxx                                          |    3 
 sc/source/ui/view/dbfunc3.cxx                                          |   33 
 sc/source/ui/view/drawview.cxx                                         |    2 
 sc/source/ui/view/editsh.cxx                                           |    3 
 sc/source/ui/view/formatsh.cxx                                         |   21 
 sc/source/ui/view/gridwin4.cxx                                         |    2 
 sc/source/ui/view/output.cxx                                           |   15 
 sc/source/ui/view/pfuncache.cxx                                        |   43 
 sc/source/ui/view/spelldialog.cxx                                      |   10 
 sc/source/ui/view/tabvwsh3.cxx                                         |   11 
 sc/source/ui/view/tabvwshf.cxx                                         |  106 
 sc/source/ui/view/viewdata.cxx                                         |   23 
 sc/source/ui/view/viewfun2.cxx                                         |  187 -
 sc/source/ui/view/viewfun3.cxx                                         |   31 
 sc/source/ui/view/viewfun4.cxx                                         |   79 
 sc/source/ui/view/viewfunc.cxx                                         |  577 ++--
 sc/source/ui/view/viewutil.cxx                                         |   28 
 sc/uiconfig/scalc/toolbar/standardbar.xml                              |    2 
 sc/workben/addin.cxx                                                   |    6 
 sc/workben/makefile.mk                                                 |    1 
 scaddins/source/analysis/analysis.cxx                                  |    6 
 scaddins/source/analysis/analysishelper.cxx                            |   24 
 scaddins/source/datefunc/datefunc.cxx                                  |    6 
 scaddins/source/datefunc/datefunc.def                                  |    1 
 sccomp/prj/build.lst                                                   |    2 
 sccomp/source/solver/solver.cxx                                        |    6 
 139 files changed, 4847 insertions(+), 1931 deletions(-)

New commits:
commit 1a0979c6012b44a3b4ef8aca7c59ad3a0e0af54e
Merge: aedf5d7... 1bae003...
Author: Bjoern Michaelsen <bjoern.michaelsen at canonical.com>
Date:   Thu Jul 21 18:32:46 2011 +0200

    resyncing to master

diff --cc chart2/Library_charttools.mk
index 6f4660b,9eac639..e88a27d
--- a/chart2/Library_charttools.mk
+++ b/chart2/Library_charttools.mk
@@@ -34,10 -34,10 +34,9 @@@ $(eval $(call gb_Library_set_include,ch
      -I$(realpath $(SRCDIR)/chart2/inc/pch) \
      -I$(realpath $(SRCDIR)/chart2/source/inc) \
      -I$(OUTDIR)/inc \
-     -I$(OUTDIR)/inc/offuh \
  ))
  
 -$(eval $(call gb_Library_set_defs,charttools,\
 -    $$(DEFS) \
 +$(eval $(call gb_Library_add_defs,charttools,\
      -DOOO_DLLIMPLEMENTATION_CHARTTOOLS \
  ))
  
diff --cc chart2/Library_chartview.mk
index 82f29ac,9fc0e50..a905456
--- a/chart2/Library_chartview.mk
+++ b/chart2/Library_chartview.mk
@@@ -35,10 -35,10 +35,9 @@@ $(eval $(call gb_Library_set_include,ch
      -I$(realpath $(SRCDIR)/chart2/source/view/inc) \
      -I$(realpath $(SRCDIR)/chart2/source/inc) \
      -I$(OUTDIR)/inc \
-     -I$(OUTDIR)/inc/offuh \
  ))
  
 -$(eval $(call gb_Library_set_defs,chartview,\
 -    $$(DEFS) \
 +$(eval $(call gb_Library_add_defs,chartview,\
      -DOOO_DLLIMPLEMENTATION_CHARTVIEW \
  ))
  
diff --cc sc/Library_sc.mk
index 7f1f36a,3b82fd0..dcb105d
--- a/sc/Library_sc.mk
+++ b/sc/Library_sc.mk
@@@ -36,10 -36,10 +36,9 @@@ $(eval $(call gb_Library_set_include,sc
          -I$(WORKDIR)/SdiTarget/sc/sdi \
          -I$(WORKDIR)/Misc/sc/ \
          $$(INCLUDE) \
-         -I$(OUTDIR)/inc/offuh \
  ))
  
 -$(eval $(call gb_Library_set_defs,sc,\
 -        $$(DEFS) \
 +$(eval $(call gb_Library_add_defs,sc,\
          -DSC_DLLIMPLEMENTATION \
          -DSC_INFO_OSVERSION=\"$(OS)\" \
  ))
diff --cc sc/Library_scd.mk
index 6286845,5b4aa84..deb0753
--- a/sc/Library_scd.mk
+++ b/sc/Library_scd.mk
@@@ -30,9 -30,17 +30,13 @@@ $(eval $(call gb_Library_set_include,sc
          -I$(realpath $(SRCDIR)/sc/inc) \
          -I$(WORKDIR)/Misc/sc/ \
          $$(INCLUDE) \
-         -I$(OUTDIR)/inc/offuh \
  ))
  
 -$(eval $(call gb_Library_set_defs,scd,\
 -        $$(DEFS) \
+ ))
+ 
+ $(eval $(call gb_Library_add_api,scd,\
+     offapi \
+     udkapi \
 -))
 -
  $(eval $(call gb_Library_add_linked_libs,scd,\
          cppu \
          cppuhelper \
diff --cc sc/Library_scfilt.mk
index 5c74d09,04e6dba..26fae54
--- a/sc/Library_scfilt.mk
+++ b/sc/Library_scfilt.mk
@@@ -36,7 -36,15 +36,11 @@@ $(eval $(call gb_Library_set_include,sc
          -I$(OUTDIR)/inc \
  ))
  
 -$(eval $(call gb_Library_set_defs,scfilt,\
 -        $$(DEFS) \
+ ))
+ 
+ $(eval $(call gb_Library_add_api,scfilt,\
+     offapi \
+     udkapi \
 -))
 -
  $(eval $(call gb_Library_add_linked_libs,scfilt,\
          basegfx \
          comphelper \
diff --cc sc/Library_scui.mk
index 3473fa7,ce806b4..c124087
--- a/sc/Library_scui.mk
+++ b/sc/Library_scui.mk
@@@ -30,9 -30,17 +30,13 @@@ $(eval $(call gb_Library_set_include,sc
  	-I$(WORKDIR)/SdiTarget/sc/sdi \
  	-I$(WORKDIR)/Misc/sc \
  	$$(INCLUDE) \
- 	-I$(OUTDIR)/inc/offuh \
  ))
  
 -$(eval $(call gb_Library_set_defs,scui,\
 -	$$(DEFS) \
+ ))
+ 
+ $(eval $(call gb_Library_add_api,scui,\
+     offapi \
+     udkapi \
 -))
 -
  $(eval $(call gb_Library_add_linked_libs,scui,\
  	comphelper \
  	cppu \
diff --cc sc/Library_vbaobj.mk
index 437607d,58dc936..eb66b69
--- a/sc/Library_vbaobj.mk
+++ b/sc/Library_vbaobj.mk
@@@ -132,6 -137,20 +136,17 @@@ $(eval $(call gb_Library_add_exception_
          sc/source/ui/vba/vbawsfunction \
  ))
  
+ #32bit Fedora gcc 4.6.1 fails to link sc without this
+ #TO-DO: make standalone reproducer for this and file
+ #gcc bug
+ ifeq ($(strip $(COM)),GCC)
+ $(eval $(call gb_Library_add_cxxobjects,vbaobj,\
+         sc/source/ui/vba/vbasheetobjects \
+         , $(gb_COMPILERNOOPTFLAGS) $(gb_LinkTarget_EXCEPTIONFLAGS) \
+ ))
+ else
+ $(eval $(call gb_Library_add_exception_objects,vbaobj,\
+     sc/source/ui/vba/vbasheetobjects \
 -))
 -endif
 -
  ifeq ($(OS),WNT)
  $(eval $(call gb_Library_add_linked_libs,vbaobj,\
  	advapi32 \
commit 1bae003aae5fc319b49e85f01a1a8d51d337f5d3
Author: Caolán McNamara <caolanm at redhat.com>
Date:   Thu Jul 21 09:26:30 2011 +0100

    ByteString::->rtl::OStringBuffer

diff --git a/sc/source/core/data/attarray.cxx b/sc/source/core/data/attarray.cxx
index 0a8c1f6..4775fdc 100644
--- a/sc/source/core/data/attarray.cxx
+++ b/sc/source/core/data/attarray.cxx
@@ -115,10 +115,12 @@ void ScAttrArray::TestData() const
     }
     if (nErr)
     {
-        ByteString aMsg = ByteString::CreateFromInt32(nErr);
-        aMsg += " errors in attribute array, column ";
-        aMsg += ByteString::CreateFromInt32(nCol);
-        OSL_FAIL( aMsg.GetBuffer() );
+        rtl::OStringBuffer aMsg;
+        aMsg.append(static_cast<sal_Int32>(nErr));
+        aMsg.append(RTL_CONSTASCII_STRINGPARAM(
+            " errors in attribute array, column "));
+        aMsg.append(static_cast<sal_Int32>(nCol));
+        OSL_FAIL(aMsg.getStr());
     }
 }
 #endif
commit a027bee4bb2565f14eb70c4325592b23a86c007a
Author: Fridrich Å trba <fridrich.strba at bluewin.ch>
Date:   Thu Jul 21 09:23:19 2011 +0200

    Avoid confusion with our windows api clash prevention

diff --git a/sc/qa/unit/ucalc.cxx b/sc/qa/unit/ucalc.cxx
index 8899b5e..dba0182 100644
--- a/sc/qa/unit/ucalc.cxx
+++ b/sc/qa/unit/ucalc.cxx
@@ -87,6 +87,13 @@
 #include <iostream>
 #include <vector>
 
+#ifdef WNT
+#define NOMINMAX
+#include <prewin.h>
+#include <postwin.h>
+#undef NOMINMAX
+#endif
+
 #define MDDS_HASH_CONTAINER_BOOST 1
 #include <mdds/mixed_type_matrix.hpp>
 
commit bf0166394b52aa6328142422c7d621beb2377259
Author: Maciej Rumianowski <maciej.rumianowski at gmail.com>
Date:   Tue Jul 19 10:50:54 2011 +0200

    Get rid of SvULongs in calc
    
    Instead of SvULongs use ::std::vector < sal_Int32 >

diff --git a/chart2/source/controller/itemsetwrapper/DataPointItemConverter.cxx b/chart2/source/controller/itemsetwrapper/DataPointItemConverter.cxx
index 3802ffc..76e7db0 100644
--- a/chart2/source/controller/itemsetwrapper/DataPointItemConverter.cxx
+++ b/chart2/source/controller/itemsetwrapper/DataPointItemConverter.cxx
@@ -54,8 +54,6 @@
 #include <editeng/brshitem.hxx>
 //SfxIntegerListItem
 #include <svl/ilstitem.hxx>
-#define _SVSTDARR_ULONGS
-#include <svl/svstdarr.hxx>
 #include <vcl/graph.hxx>
 #include <com/sun/star/graphic/XGraphic.hpp>
 
@@ -641,10 +639,7 @@ void DataPointItemConverter::FillSpecialItem(
 
         case SCHATTR_DATADESCR_AVAILABLE_PLACEMENTS:
         {
-            SvULongs aList;
-            for ( sal_Int32 nN=0; nN<m_aAvailableLabelPlacements.getLength(); nN++ )
-                aList.Insert( m_aAvailableLabelPlacements[nN], sal::static_int_cast< sal_uInt16 >(nN) );
-            rOutItemSet.Put( SfxIntegerListItem( nWhichId, aList ) );
+            rOutItemSet.Put( SfxIntegerListItem( nWhichId, m_aAvailableLabelPlacements ) );
         }
         break;
 
diff --git a/chart2/source/controller/itemsetwrapper/SeriesOptionsItemConverter.cxx b/chart2/source/controller/itemsetwrapper/SeriesOptionsItemConverter.cxx
index a199b66..7df2d61 100644
--- a/chart2/source/controller/itemsetwrapper/SeriesOptionsItemConverter.cxx
+++ b/chart2/source/controller/itemsetwrapper/SeriesOptionsItemConverter.cxx
@@ -51,8 +51,6 @@
 
 //SfxIntegerListItem
 #include <svl/ilstitem.hxx>
-#define _SVSTDARR_ULONGS
-#include <svl/svstdarr.hxx>
 
 #include <rtl/math.hxx>
 #include <functional>
@@ -433,10 +431,7 @@ void SeriesOptionsItemConverter::FillSpecialItem(
         }
         case SCHATTR_AVAILABLE_MISSING_VALUE_TREATMENTS:
         {
-            SvULongs aList;
-            for ( sal_Int32 nN=0; nN<m_aSupportedMissingValueTreatments.getLength(); nN++ )
-                aList.Insert( m_aSupportedMissingValueTreatments[nN], sal::static_int_cast< sal_uInt16 >(nN) );
-            rOutItemSet.Put( SfxIntegerListItem( nWhichId, aList ) );
+            rOutItemSet.Put( SfxIntegerListItem( nWhichId, m_aSupportedMissingValueTreatments ) );
             break;
         }
         case SCHATTR_INCLUDE_HIDDEN_CELLS:
diff --git a/chart2/source/view/main/ChartItemPool.cxx b/chart2/source/view/main/ChartItemPool.cxx
index 2cdac8f..aa81e06 100644
--- a/chart2/source/view/main/ChartItemPool.cxx
+++ b/chart2/source/view/main/ChartItemPool.cxx
@@ -39,10 +39,9 @@
 #include <svl/stritem.hxx>
 #include <svl/rectitem.hxx>
 #include <svl/ilstitem.hxx>
-#define _SVSTDARR_ULONGS
-#include <svl/svstdarr.hxx>
 #include <editeng/editids.hrc>
 #include <svx/svxids.hrc>
+#include <vector>
 
 #include <com/sun/star/chart2/LegendPosition.hpp>
 
@@ -63,8 +62,7 @@ ChartItemPool::ChartItemPool():
     ppPoolDefaults[SCHATTR_DATADESCR_SHOW_SYMBOL    - SCHATTR_START] = new SfxBoolItem(SCHATTR_DATADESCR_SHOW_SYMBOL);
     ppPoolDefaults[SCHATTR_DATADESCR_SEPARATOR      - SCHATTR_START] = new SfxStringItem(SCHATTR_DATADESCR_SEPARATOR,C2U(" "));
     ppPoolDefaults[SCHATTR_DATADESCR_PLACEMENT      - SCHATTR_START] = new SfxInt32Item(SCHATTR_DATADESCR_PLACEMENT,0);
-    SvULongs aTmp;
-    ppPoolDefaults[SCHATTR_DATADESCR_AVAILABLE_PLACEMENTS - SCHATTR_START] = new SfxIntegerListItem(SCHATTR_DATADESCR_AVAILABLE_PLACEMENTS,aTmp);
+    ppPoolDefaults[SCHATTR_DATADESCR_AVAILABLE_PLACEMENTS - SCHATTR_START] = new SfxIntegerListItem(SCHATTR_DATADESCR_AVAILABLE_PLACEMENTS, ::std::vector < sal_Int32 >() );
     ppPoolDefaults[SCHATTR_DATADESCR_NO_PERCENTVALUE    - SCHATTR_START] = new SfxBoolItem(SCHATTR_DATADESCR_NO_PERCENTVALUE);
     ppPoolDefaults[SCHATTR_PERCENT_NUMBERFORMAT_VALUE  - SCHATTR_START] = new SfxUInt32Item(SCHATTR_PERCENT_NUMBERFORMAT_VALUE, 0);
     ppPoolDefaults[SCHATTR_PERCENT_NUMBERFORMAT_SOURCE - SCHATTR_START] = new SfxBoolItem(SCHATTR_PERCENT_NUMBERFORMAT_SOURCE);
@@ -157,7 +155,7 @@ ChartItemPool::ChartItemPool():
     ppPoolDefaults[SCHATTR_CLOCKWISE            - SCHATTR_START] = new SfxBoolItem( SCHATTR_CLOCKWISE, sal_False );
 
     ppPoolDefaults[SCHATTR_MISSING_VALUE_TREATMENT    - SCHATTR_START] = new SfxInt32Item(SCHATTR_MISSING_VALUE_TREATMENT, 0);
-    ppPoolDefaults[SCHATTR_AVAILABLE_MISSING_VALUE_TREATMENTS - SCHATTR_START] = new SfxIntegerListItem(SCHATTR_AVAILABLE_MISSING_VALUE_TREATMENTS,aTmp);
+    ppPoolDefaults[SCHATTR_AVAILABLE_MISSING_VALUE_TREATMENTS - SCHATTR_START] = new SfxIntegerListItem(SCHATTR_AVAILABLE_MISSING_VALUE_TREATMENTS, ::std::vector < sal_Int32 >() );
     ppPoolDefaults[SCHATTR_INCLUDE_HIDDEN_CELLS - SCHATTR_START] = new SfxBoolItem(SCHATTR_INCLUDE_HIDDEN_CELLS, sal_True);
 
     ppPoolDefaults[SCHATTR_AXIS_FOR_ALL_SERIES  - SCHATTR_START] = new SfxInt32Item(SCHATTR_AXIS_FOR_ALL_SERIES, 0);
diff --git a/sc/source/ui/docshell/impex.cxx b/sc/source/ui/docshell/impex.cxx
index 7b0e30d..d13f3e2 100644
--- a/sc/source/ui/docshell/impex.cxx
+++ b/sc/source/ui/docshell/impex.cxx
@@ -49,8 +49,6 @@ class StarBASIC;
 #include <rtl/math.hxx>
 #include <svtools/htmlout.hxx>
 #include <svl/zforlist.hxx>
-#define _SVSTDARR_ULONGS
-#include <svl/svstdarr.hxx>
 #include <sot/formats.hxx>
 #include <sfx2/mieclip.hxx>
 #include <unotools/charclass.hxx>
@@ -58,6 +56,7 @@ class StarBASIC;
 #include <unotools/calendarwrapper.hxx>
 #include <com/sun/star/i18n/CalendarFieldIndex.hpp>
 #include <unotools/transliterationwrapper.hxx>
+#include <vector>
 
 #include "global.hxx"
 #include "scerrors.hxx"
@@ -1544,7 +1543,7 @@ sal_Bool ScImportExport::Sylk2Doc( SvStream& rStrm )
     SCROW nEndRow = aRange.aEnd.Row();
     sal_uLong nOldPos = rStrm.Tell();
     sal_Bool bData = sal_Bool( !bSingle );
-    SvULongs aFormats;
+    ::std::vector< sal_uInt32 > aFormats;
 
     if( !bSingle)
         bOk = StartPaste();
@@ -1730,9 +1729,9 @@ sal_Bool ScImportExport::Sylk2Doc( SvStream& rStrm )
                     if( nCol > nEndCol )
                         nEndCol = nCol;
                 }
-                if ( 0 <= nFormat && nFormat < aFormats.Count() )
+                if ( 0 <= nFormat && nFormat < (sal_Int32)aFormats.size() )
                 {
-                    sal_uLong nKey = aFormats[(sal_uInt16)nFormat];
+                    sal_uInt32 nKey = aFormats[nFormat];
                     pDoc->ApplyAttr( nCol, nRow, aRange.aStart.Tab(),
                             SfxUInt32Item( ATTR_VALUE_FORMAT, nKey ) );
                 }
@@ -1759,7 +1758,7 @@ sal_Bool ScImportExport::Sylk2Doc( SvStream& rStrm )
                         ScGlobal::eLnge );
                     if ( nCheckPos )
                         nKey = 0;
-                    aFormats.Insert( nKey, aFormats.Count() );
+                    aFormats.push_back( nKey );
                 }
             }
             else if( cTag == 'I' && *p == 'D' )
diff --git a/sc/source/ui/view/tabvwsh3.cxx b/sc/source/ui/view/tabvwsh3.cxx
index 270542c..d248292 100644
--- a/sc/source/ui/view/tabvwsh3.cxx
+++ b/sc/source/ui/view/tabvwsh3.cxx
@@ -73,8 +73,7 @@
 #include "protectiondlg.hxx"
 
 #include <svl/ilstitem.hxx>
-#define _SVSTDARR_ULONGS
-#include <svl/svstdarr.hxx>
+#include <vector>
 
 #include <svx/zoomslideritem.hxx>
 #include <svx/svxdlg.hxx>
@@ -810,7 +809,7 @@ void ScTabViewShell::Execute( SfxRequest& rReq )
             SCTAB nTabCount = rDoc.GetTableCount();
             SCTAB nTab;
 
-            SvULongs aIndexList( 4, 4 );
+            ::std::vector < sal_Int32 > aIndexList;
             SFX_REQUEST_ARG( rReq, pItem, SfxIntegerListItem, SID_SELECT_TABLES, false );
             if ( pItem )
                 pItem->GetList( aIndexList );
@@ -839,7 +838,7 @@ void ScTabViewShell::Execute( SfxRequest& rReq )
                     sal_uInt16 nSelCount = pDlg->GetSelectEntryCount();
                     sal_uInt16 nSelIx;
                     for( nSelIx = 0; nSelIx < nSelCount; ++nSelIx )
-                        aIndexList.Insert( pDlg->GetSelectEntryPos( nSelIx ), nSelIx );
+                        aIndexList.insert( aIndexList.begin()+nSelIx, pDlg->GetSelectEntryPos( nSelIx ) );
                     delete pDlg;
                     rReq.AppendItem( SfxIntegerListItem( SID_SELECT_TABLES, aIndexList ) );
                 }
@@ -847,9 +846,9 @@ void ScTabViewShell::Execute( SfxRequest& rReq )
                     rReq.Ignore();
             }
 
-            if ( aIndexList.Count() )
+            if ( !aIndexList.empty() )
             {
-                sal_uInt16 nSelCount = aIndexList.Count();
+                sal_uInt16 nSelCount = aIndexList.size();
                 sal_uInt16 nSelIx;
                 SCTAB nFirstVisTab = 0;
 
commit 8da78944e7c4fcae9ae40bea7162898d20db6329
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Tue Jul 19 14:46:50 2011 -0400

    fdo#39236: Prevent double-deletes during removal of pivot tables.
    
    In short, don't use erase remove(_if) idiom to remove objects from
    boost ptr containers which would cause double deletes because of
    the way remove-like algorithms work.  STL's remove-like algorithms
    create duplicates of the elements instead of re-ordering them by
    design, and this obviously doesn't work well with containers
    containing pointers.

diff --git a/sc/source/core/data/dpobject.cxx b/sc/source/core/data/dpobject.cxx
index f0b498c..bea58b8 100644
--- a/sc/source/core/data/dpobject.cxx
+++ b/sc/source/core/data/dpobject.cxx
@@ -83,8 +83,6 @@
 
 using namespace com::sun::star;
 using ::std::vector;
-using ::std::unary_function;
-using ::std::remove_if;
 using ::boost::shared_ptr;
 using ::com::sun::star::uno::Sequence;
 using ::com::sun::star::uno::Reference;
@@ -2572,25 +2570,6 @@ ScDPCollection::~ScDPCollection()
     maTables.clear();
 }
 
-namespace {
-
-/**
- * Unary predicate to match DP objects by the table ID.
- */
-class MatchByTable : public unary_function<ScDPObject, bool>
-{
-    SCTAB mnTab;
-public:
-    MatchByTable(SCTAB nTab) : mnTab(nTab) {}
-
-    bool operator() (const ScDPObject& rObj) const
-    {
-        return rObj.GetOutRange().aStart.Tab() == mnTab;
-    }
-};
-
-}
-
 bool ScDPCollection::ClearCache(ScDPObject* pDPObj)
 {
     if (pDPObj->IsSheetData())
@@ -2628,9 +2607,15 @@ bool ScDPCollection::ClearCache(ScDPObject* pDPObj)
 
 void ScDPCollection::DeleteOnTab( SCTAB nTab )
 {
-    maTables.erase(
-        remove_if(maTables.begin(), maTables.end(), MatchByTable(nTab)),
-        maTables.end());
+    TablesType aNewTables;
+    while (!maTables.empty())
+    {
+        TablesType::auto_type xDP = maTables.pop_back();
+        if (xDP->GetOutRange().aStart.Tab() != nTab)
+            // Not on this sheet.  Keep it.
+            aNewTables.push_back(xDP.release());
+    }
+    maTables.swap(aNewTables);
 }
 
 void ScDPCollection::UpdateReference( UpdateRefMode eUpdateRefMode,
commit 0290076c7043ab3b9dfde2fd6219334f5a0e987d
Author: Markus Mohrhard <markus.mohrhard at googlemail.com>
Date:   Tue Jul 19 13:09:20 2011 +0200

    fix for fdo#39333: database ranges don't work in formulas

diff --git a/sc/inc/token.hxx b/sc/inc/token.hxx
index 78364e9..ce16c17 100644
--- a/sc/inc/token.hxx
+++ b/sc/inc/token.hxx
@@ -244,7 +244,7 @@ private:
 private:
                                 ScNameToken(); // disabled
 public:
-                                ScNameToken(sal_uInt16 nIndex, bool bGlobal);
+                                ScNameToken(sal_uInt16 nIndex, bool bGlobal, OpCode eOpCode = ocName);
                                 ScNameToken(const ScNameToken& r);
     virtual                     ~ScNameToken();
     virtual sal_uInt8                GetByte() const;
diff --git a/sc/source/core/tool/token.cxx b/sc/source/core/tool/token.cxx
index 9e8c821..29d30a5 100644
--- a/sc/source/core/tool/token.cxx
+++ b/sc/source/core/tool/token.cxx
@@ -379,7 +379,7 @@ FormulaToken* ScRawToken::CreateToken() const
             IF_NOT_OPCODE_ERROR( ocPush, ScMatrixToken);
             return new ScMatrixToken( pMat );
         case svIndex :
-            return new ScNameToken(name.nIndex, name.bGlobal);
+            return new ScNameToken(name.nIndex, name.bGlobal, eOp);
         case svExternalSingleRef:
             {
                 String aTabName(extref.cTabName);
@@ -948,8 +948,8 @@ bool ScExternalDoubleRefToken::operator ==( const FormulaToken& r ) const
 
 // ============================================================================
 
-ScNameToken::ScNameToken(sal_uInt16 nIndex, bool bGlobal) :
-    ScToken(svIndex, ocName), mnIndex(nIndex), mbGlobal(bGlobal) {}
+ScNameToken::ScNameToken(sal_uInt16 nIndex, bool bGlobal, OpCode eOpCode) :
+    ScToken(svIndex, eOpCode), mnIndex(nIndex), mbGlobal(bGlobal) {}
 
 ScNameToken::ScNameToken(const ScNameToken& r) :
     ScToken(r), mnIndex(r.mnIndex), mbGlobal(r.mbGlobal) {}
commit ce470bf9f95ae53c29356976b2c5e44cd6c73fed
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Mon Jul 18 12:18:44 2011 -0400

    Correctly use adjusted range strings for range name import.
    
    ScXMLConverter::ParseFormula() was called on the range name string
    to correctly fix range string such as '$Sheet1.$A$1:.$A$3' to
    '$Sheet1.$A$1:$A$3' (remove the dot from the end cell address).  But
    we weren't using the corrected string when creating a new range name
    instance during the ODS import.  This commit fixes it.

diff --git a/sc/source/filter/xml/xmlimprt.cxx b/sc/source/filter/xml/xmlimprt.cxx
index 79f2ef9..3eecb32 100644
--- a/sc/source/filter/xml/xmlimprt.cxx
+++ b/sc/source/filter/xml/xmlimprt.cxx
@@ -2811,7 +2811,7 @@ public:
                     ScXMLConverter::ParseFormula(aContent, false);
 
                 ScRangeData* pData = new ScRangeData(
-                    mpDoc, r.sName, r.sContent, aPos, nNewType, r.eGrammar);
+                    mpDoc, r.sName, aContent, aPos, nNewType, r.eGrammar);
                 mrRangeName.insert(pData);
             }
         }
commit 82b2faf8b0721ec47a3e1aa277a368a022f7d1e2
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Mon Jul 18 11:21:31 2011 -0400

    I'm sure this is meant to be CalcComponent instead of WriterComponent.

diff --git a/sc/qa/unit/filters-test.cxx b/sc/qa/unit/filters-test.cxx
index 288249c..58189b0 100644
--- a/sc/qa/unit/filters-test.cxx
+++ b/sc/qa/unit/filters-test.cxx
@@ -89,7 +89,7 @@ public:
 private:
     uno::Reference<uno::XComponentContext> m_xContext;
     uno::Reference<lang::XMultiComponentFactory> m_xFactory;
-    uno::Reference<uno::XInterface> m_xWriterComponent;
+    uno::Reference<uno::XInterface> m_xCalcComponent;
     ::rtl::OUString m_aSrcRoot;
 };
 
@@ -221,10 +221,10 @@ FiltersTest::FiltersTest()
 
     //This is a bit of a fudge, we do this to ensure that ScGlobals::ensure,
     //which is a private symbol to us, gets called
-    m_xWriterComponent =
+    m_xCalcComponent =
         xSM->createInstance(rtl::OUString(
         RTL_CONSTASCII_USTRINGPARAM("com.sun.star.comp.Calc.SpreadsheetDocument")));
-    CPPUNIT_ASSERT_MESSAGE("no calc component!", m_xWriterComponent.is());
+    CPPUNIT_ASSERT_MESSAGE("no calc component!", m_xCalcComponent.is());
 
     const char* pSrcRoot = getenv( "SRC_ROOT" );
     CPPUNIT_ASSERT_MESSAGE("SRC_ROOT env variable not set", pSrcRoot != NULL && pSrcRoot[0] != 0);
commit 6af243596dfc051e7e3b51c44f68d2af0ad52527
Author: Laurent Godard <oooconv at free.fr>
Date:   Fri Jul 15 17:55:55 2011 +0200

    fix for fdo#35357 SetNamedRanges speedup
    
    use of SheetRangeNameInserter
    SheetRangeNameInserter now handles rangeType
    changing SetSheetNamedRanges accordingly
    rename SheetRangeNameInserter to RangeNameInserter

diff --git a/sc/source/filter/xml/xmlimprt.cxx b/sc/source/filter/xml/xmlimprt.cxx
index aedb799..79f2ef9 100644
--- a/sc/source/filter/xml/xmlimprt.cxx
+++ b/sc/source/filter/xml/xmlimprt.cxx
@@ -2770,124 +2770,31 @@ private:
 
 }
 
-void ScXMLImport::SetNamedRanges()
-{
-    ScMyNamedExpressions* pNamedExpressions = GetNamedExpressions();
-    if (!pNamedExpressions)
-        return;
-
-    Reference <beans::XPropertySet> xPropertySet (GetModel(), UNO_QUERY);
-    if (!xPropertySet.is())
-        return;
-
-    Reference <sheet::XNamedRanges> xNamedRanges(
-        xPropertySet->getPropertyValue(rtl::OUString(RTL_CONSTASCII_USTRINGPARAM(SC_NAMEDRANGES))), UNO_QUERY);
-
-    if (!xNamedRanges.is())
-        return;
-
-    Reference<beans::XPropertySet> xPropSet(xNamedRanges, UNO_QUERY);
-    if (!xPropSet.is())
-        return;
-
-    // Turn off broadcasting while adding imported range names.
-    NamedRangesSwitch aSwitch(xPropSet);
-
-    ScMyNamedExpressions::iterator aItr(pNamedExpressions->begin());
-    ScMyNamedExpressions::const_iterator aEndItr(pNamedExpressions->end());
-    table::CellAddress aCellAddress;
-    OUString sTempContent(RTL_CONSTASCII_USTRINGPARAM("0"));
-
-    for (; aItr != aEndItr; ++aItr)
-    {
-        sal_Int32 nOffset = 0;
-        bool bSuccess = ScRangeStringConverter::GetAddressFromString(
-            aCellAddress, aItr->sBaseCellAddress, GetDocument(), FormulaGrammar::CONV_OOO, nOffset);
-
-        if (!bSuccess)
-            // Conversion of base cell address failed.  Skip this.
-            continue;
-
-        try
-        {
-            xNamedRanges->addNewByName(
-                aItr->sName, sTempContent, aCellAddress, GetRangeType(aItr->sRangeType));
-        }
-        catch( uno::RuntimeException& )
-        {
-            OSL_FAIL("here are some Named Ranges with the same name");
-            uno::Reference < container::XIndexAccess > xIndex(xNamedRanges, uno::UNO_QUERY);
-            if (xIndex.is())
-            {
-                sal_Int32 nMax = xIndex->getCount();
-                bool bInserted = false;
-                sal_Int32 nCount = 1;
-                OUStringBuffer sName(aItr->sName);
-                sName.append(sal_Unicode('_'));
-                while (!bInserted && nCount <= nMax)
-                {
-                    OUStringBuffer sTemp(sName);
-                    sTemp.append(OUString::valueOf(nCount));
-                    try
-                    {
-                        xNamedRanges->addNewByName(
-                            sTemp.makeStringAndClear(), sTempContent, aCellAddress,
-                            GetRangeType(aItr->sRangeType));
-                        bInserted = true;
-                    }
-                    catch( uno::RuntimeException& )
-                    {
-                        ++nCount;
-                    }
-                }
-                UnlockSolarMutex();
-            }
-        }
-    }
-
-    aItr = pNamedExpressions->begin();
-    while (aItr != aEndItr)
-    {
-        sal_Int32 nOffset(0);
-        if (ScRangeStringConverter::GetAddressFromString(
-            aCellAddress, aItr->sBaseCellAddress, GetDocument(), FormulaGrammar::CONV_OOO, nOffset ))
-        {
-            uno::Reference <sheet::XNamedRange> xNamedRange(xNamedRanges->getByName(aItr->sName), uno::UNO_QUERY);
-            if (xNamedRange.is())
-            {
-                ScXMLImport::MutexGuard aGuard(*this);
-                ScNamedRangeObj* pNamedRangeObj = ScNamedRangeObj::getImplementation( xNamedRange);
-                if (pNamedRangeObj)
-                {
-                    sTempContent = aItr->sContent;
-                    // Get rid of leading sheet dots in simple ranges.
-                    if (!aItr->bIsExpression)
-                        ScXMLConverter::ParseFormula( sTempContent, false);
-                    pNamedRangeObj->SetContentWithGrammar( sTempContent, aItr->eGrammar);
-                }
-            }
-        }
-        aItr = pNamedExpressions->erase(aItr);
-    }
-}
-
 namespace {
 
-class SheetRangeNameInserter : public ::std::unary_function<ScMyNamedExpression, void>
+class RangeNameInserter : public ::std::unary_function<ScMyNamedExpression, void>
 {
     ScDocument* mpDoc;
     ScRangeName& mrRangeName;
+    ScXMLImport& mrXmlImport;
+
 public:
-    SheetRangeNameInserter(ScDocument* pDoc, ScRangeName& rRangeName) :
-        mpDoc(pDoc), mrRangeName(rRangeName) {}
+    RangeNameInserter(ScDocument* pDoc, ScRangeName& rRangeName, ScXMLImport& rXmlImport) :
+        mpDoc(pDoc), mrRangeName(rRangeName), mrXmlImport(rXmlImport) {}
 
     void operator() (const ScMyNamedExpression& r) const
     {
         using namespace formula;
 
-        if (r.sRangeType.getLength() > 0)
-            // For now, we only accept normal named expressions.
-            return;
+        const ::rtl::OUString& aType = r.sRangeType;
+        sal_uInt32 nUnoType = mrXmlImport.GetRangeType(aType);
+
+        sal_uInt16 nNewType = RT_NAME;
+        if ( nUnoType & sheet::NamedRangeFlag::FILTER_CRITERIA )    nNewType |= RT_CRITERIA;
+        if ( nUnoType & sheet::NamedRangeFlag::PRINT_AREA )         nNewType |= RT_PRINTAREA;
+        if ( nUnoType & sheet::NamedRangeFlag::COLUMN_HEADER )      nNewType |= RT_COLHEADER;
+        if ( nUnoType & sheet::NamedRangeFlag::ROW_HEADER )         nNewType |= RT_ROWHEADER;
+
 
         if (mpDoc && !mrRangeName.findByName(r.sName))
         {
@@ -2904,7 +2811,7 @@ public:
                     ScXMLConverter::ParseFormula(aContent, false);
 
                 ScRangeData* pData = new ScRangeData(
-                    mpDoc, r.sName, r.sContent, aPos, RT_NAME, r.eGrammar);
+                    mpDoc, r.sName, r.sContent, aPos, nNewType, r.eGrammar);
                 mrRangeName.insert(pData);
             }
         }
@@ -2913,6 +2820,20 @@ public:
 
 }
 
+void ScXMLImport::SetNamedRanges()
+{
+    ScMyNamedExpressions* pNamedExpressions = GetNamedExpressions();
+    if (!pNamedExpressions)
+        return;
+
+    if (!pDoc)
+        return;
+
+    // Insert the namedRanges
+    ScRangeName* pRangeNames = pDoc->GetRangeName();
+    ::std::for_each(pNamedExpressions->begin(), pNamedExpressions->end(), RangeNameInserter(pDoc, *pRangeNames, *this));
+}
+
 void ScXMLImport::SetSheetNamedRanges()
 {
     if (!pDoc)
@@ -2927,7 +2848,7 @@ void ScXMLImport::SetSheetNamedRanges()
             continue;
 
         const ScMyNamedExpressions& rNames = *itr->second;
-        ::std::for_each(rNames.begin(), rNames.end(), SheetRangeNameInserter(pDoc, *pRangeNames));
+        ::std::for_each(rNames.begin(), rNames.end(), RangeNameInserter(pDoc, *pRangeNames, *this));
     }
 }
 
commit 4da044d0fbc45ad1de5ee8990bd7a5850d7a2fa2
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Thu Jul 14 18:38:16 2011 -0400

    fdo#37767: Fix broken refresh() from UNO API (and Basic).
    
    We now need to clear the cache before running DataPilotUpdate() in
    order to re-populate the cache from the source data.

diff --git a/sc/inc/dpobject.hxx b/sc/inc/dpobject.hxx
index 4bc1df9..919bc02 100644
--- a/sc/inc/dpobject.hxx
+++ b/sc/inc/dpobject.hxx
@@ -313,6 +313,8 @@ public:
     ScDPCollection(const ScDPCollection& r);
     ~ScDPCollection();
 
+    bool ClearCache(ScDPObject* pDPObj);
+
     SC_DLLPUBLIC size_t GetCount() const;
     SC_DLLPUBLIC ScDPObject* operator[](size_t nIndex);
     SC_DLLPUBLIC const ScDPObject* operator[](size_t nIndex) const;
diff --git a/sc/source/core/data/dpobject.cxx b/sc/source/core/data/dpobject.cxx
index ec8644f..f0b498c 100644
--- a/sc/source/core/data/dpobject.cxx
+++ b/sc/source/core/data/dpobject.cxx
@@ -2591,6 +2591,41 @@ public:
 
 }
 
+bool ScDPCollection::ClearCache(ScDPObject* pDPObj)
+{
+    if (pDPObj->IsSheetData())
+    {
+        // data source is internal sheet.
+        const ScSheetSourceDesc* pDesc = pDPObj->GetSheetDesc();
+        if (!pDesc)
+            return false;
+
+        if (pDesc->HasRangeName())
+        {
+            // cache by named range
+            ScDPCollection::NameCaches& rCaches = GetNameCaches();
+            rCaches.removeCache(pDesc->GetRangeName());
+        }
+        else
+        {
+            // cache by cell range
+            ScDPCollection::SheetCaches& rCaches = GetSheetCaches();
+            rCaches.removeCache(pDesc->GetSourceRange());
+        }
+    }
+    else if (pDPObj->IsImportData())
+    {
+        // data source is external database.
+        const ScImportSourceDesc* pDesc = pDPObj->GetImportSourceDesc();
+        if (!pDesc)
+            return false;
+
+        ScDPCollection::DBCaches& rCaches = GetDBCaches();
+        rCaches.removeCache(pDesc->GetCommandType(), pDesc->aDBName, pDesc->aObject);
+    }
+    return true;
+}
+
 void ScDPCollection::DeleteOnTab( SCTAB nTab )
 {
     maTables.erase(
diff --git a/sc/source/ui/unoobj/dapiuno.cxx b/sc/source/ui/unoobj/dapiuno.cxx
index a8c6b03..270b097 100644
--- a/sc/source/ui/unoobj/dapiuno.cxx
+++ b/sc/source/ui/unoobj/dapiuno.cxx
@@ -1273,10 +1273,9 @@ void SAL_CALL ScDataPilotTableObj::refresh() throw(RuntimeException)
     ScDPObject* pDPObj = lcl_GetDPObject(GetDocShell(), nTab, aName);
     if (pDPObj)
     {
-        ScDPObject* pNew = new ScDPObject(*pDPObj);
         ScDBDocFunc aFunc(*GetDocShell());
-        aFunc.DataPilotUpdate( pDPObj, pNew, true, true );
-        delete pNew;		// DataPilotUpdate copies settings from "new" object
+        GetDocShell()->GetDocument()->GetDPCollection()->ClearCache(pDPObj);
+        aFunc.DataPilotUpdate( pDPObj, pDPObj, true, true );
     }
 }
 
diff --git a/sc/source/ui/view/dbfunc3.cxx b/sc/source/ui/view/dbfunc3.cxx
index daa03ea..3209ad2 100644
--- a/sc/source/ui/view/dbfunc3.cxx
+++ b/sc/source/ui/view/dbfunc3.cxx
@@ -707,37 +707,10 @@ void ScDBFunc::RecalcPivotTable()
     {
         // Remove existing data cache for the data that this datapilot uses,
         // to force re-build data cache.
-        if (pDPObj->IsSheetData())
+        if (!pDPs->ClearCache(pDPObj))
         {
-            // data source is internal sheet.
-            const ScSheetSourceDesc* pDesc = pDPObj->GetSheetDesc();
-            if (!pDesc)
-            {
-                ErrorMessage(STR_PIVOT_NOTFOUND);
-                return;
-            }
-            if (pDesc->HasRangeName())
-            {
-                ScDPCollection::NameCaches& rCaches = pDPs->GetNameCaches();
-                rCaches.removeCache(pDesc->GetRangeName());
-            }
-            else
-            {
-                ScDPCollection::SheetCaches& rCaches = pDPs->GetSheetCaches();
-                rCaches.removeCache(pDesc->GetSourceRange());
-            }
-        }
-        else if (pDPObj->IsImportData())
-        {
-            // data source is external database.
-            const ScImportSourceDesc* pDesc = pDPObj->GetImportSourceDesc();
-            if (!pDesc)
-            {
-                ErrorMessage(STR_PIVOT_NOTFOUND);
-                return;
-            }
-            ScDPCollection::DBCaches& rCaches = pDPs->GetDBCaches();
-            rCaches.removeCache(pDesc->GetCommandType(), pDesc->aDBName, pDesc->aObject);
+            ErrorMessage(STR_PIVOT_NOTFOUND);
+            return;
         }
 
         ScDBDocFunc aFunc( *pDocSh );
commit cdb8cf074c8536aa3ea30102bcb9b34feda21833
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Thu Jul 14 13:03:04 2011 -0400

    fdo#36688: Make sure the drawing layer is initialized before use.
    
    From how it's used, it appears to be the convention to call
    InitDrawLayer() before getting the drawing layer pointer, to ensure
    that the drawing layer is present when needed.

diff --git a/sc/source/ui/view/output.cxx b/sc/source/ui/view/output.cxx
index ba2db91..ede4006 100644
--- a/sc/source/ui/view/output.cxx
+++ b/sc/source/ui/view/output.cxx
@@ -1634,6 +1634,7 @@ void ScOutputData::DrawRotatedFrame( const Color* pForceColor )
 
 drawinglayer::processor2d::BaseProcessor2D* ScOutputData::CreateProcessor2D( )
 {
+    pDoc->InitDrawLayer(pDoc->GetDocumentShell());
     ScDrawLayer* pDrawLayer = pDoc->GetDrawLayer();
     if (!pDrawLayer)
         return NULL;
commit b545d3a3c427a2f42377f1cd3dd30bc5eeb36cda
Author: Kohei Yoshida <kyoshida at novell.com>
Date:   Thu Jul 14 12:08:08 2011 -0400

    Reverted 70af08e849a93d56915b7abe14537facf1022a6a.

diff --git a/sc/source/ui/view/output.cxx b/sc/source/ui/view/output.cxx
index 4d7c2b5..ba2db91 100644
--- a/sc/source/ui/view/output.cxx
+++ b/sc/source/ui/view/output.cxx
@@ -52,7 +52,6 @@
 #include <basegfx/matrix/b2dhommatrix.hxx>
 #include <svx/sdr/contact/objectcontacttools.hxx>
 #include <svx/unoapi.hxx>
-#include <svx/svdpage.hxx>
 
 #include "output.hxx"
 #include "document.hxx"
@@ -1635,22 +1634,17 @@ void ScOutputData::DrawRotatedFrame( const Color* pForceColor )
 
 drawinglayer::processor2d::BaseProcessor2D* ScOutputData::CreateProcessor2D( )
 {
-    SdrModel aModel;
-    SfxItemPool& rPool = aModel.GetItemPool();
-    rPool.FreezeIdRanges();
-
-    SdrPage aSdrPage( aModel );
-
     ScDrawLayer* pDrawLayer = pDoc->GetDrawLayer();
-    if ( pDrawLayer )
-        aSdrPage = *pDrawLayer->GetPage( static_cast< sal_uInt16 >( nTab ) );
+    if (!pDrawLayer)
+        return NULL;
 
     basegfx::B2DRange aViewRange;
+    SdrPage *pDrawPage = pDrawLayer->GetPage( static_cast< sal_uInt16 >( nTab ) );
     const drawinglayer::geometry::ViewInformation2D aNewViewInfos(
             basegfx::B2DHomMatrix(  ),
             pDev->GetViewTransformation(),
             aViewRange,
-            GetXDrawPageForSdrPage( &aSdrPage ),
+            GetXDrawPageForSdrPage( pDrawPage ),
             0.0,
             uno::Sequence< beans::PropertyValue >() );
 
commit 833e0246ac2882161ace89214feec5e03b8f2a30
Author: Caolán McNamara <caolanm at redhat.com>
Date:   Thu Jul 14 14:24:29 2011 +0100

    Resolves: fdo#36534 rework SvxSimpleTable to not manage its own parent

diff --git a/sc/source/ui/inc/conflictsdlg.hxx b/sc/source/ui/inc/conflictsdlg.hxx
index 069e3cc..69ef0a3 100644
--- a/sc/source/ui/inc/conflictsdlg.hxx
+++ b/sc/source/ui/inc/conflictsdlg.hxx
@@ -140,19 +140,6 @@ public:
 
 
 //=============================================================================
-// class ScConflictsListBox
-//=============================================================================
-
-class ScConflictsListBox: public SvxRedlinTable
-{
-private:
-
-public:
-                        ScConflictsListBox( Window* pParent, const ResId& rResId );
-                        ~ScConflictsListBox();
-};
-
-//=============================================================================
 // class ScConflictsDlg
 //=============================================================================
 
@@ -160,7 +147,8 @@ class ScConflictsDlg : public ModalDialog
 {
 private:
     FixedText           maFtConflicts;
-    ScConflictsListBox  maLbConflicts;
+    SvxSimpleTableContainer m_aLbConflictsContainer;
+    SvxRedlinTable      maLbConflicts;
     PushButton          maBtnKeepMine;
     PushButton          maBtnKeepOther;
     FixedLine           maFlConflicts;
diff --git a/sc/source/ui/inc/sharedocdlg.hxx b/sc/source/ui/inc/sharedocdlg.hxx
index 47ac9ce..b29d6a7 100644
--- a/sc/source/ui/inc/sharedocdlg.hxx
+++ b/sc/source/ui/inc/sharedocdlg.hxx
@@ -49,6 +49,7 @@ private:
     FixedText           maFtWarning;
     FixedLine           maFlUsers;
     FixedText           maFtUsers;
+    SvxSimpleTableContainer m_aLbUsersContainer;
     SvxSimpleTable      maLbUsers;
     FixedLine           maFlEnd;
     HelpButton          maBtnHelp;
diff --git a/sc/source/ui/miscdlgs/conflictsdlg.cxx b/sc/source/ui/miscdlgs/conflictsdlg.cxx
index 4013541..5deab78 100644
--- a/sc/source/ui/miscdlgs/conflictsdlg.cxx
+++ b/sc/source/ui/miscdlgs/conflictsdlg.cxx
@@ -393,20 +393,6 @@ void ScConflictsResolver::HandleAction( ScChangeAction* pAction, bool bIsSharedA
     }
 }
 
-
-//=============================================================================
-// class ScConflictsListBox
-//=============================================================================
-
-ScConflictsListBox::ScConflictsListBox( Window* pParent, const ResId& rResId )
-    :SvxRedlinTable( pParent, rResId )
-{
-}
-
-ScConflictsListBox::~ScConflictsListBox()
-{
-}
-
 //=============================================================================
 // class ScConflictsDlg
 //=============================================================================
@@ -414,7 +400,8 @@ ScConflictsListBox::~ScConflictsListBox()
 ScConflictsDlg::ScConflictsDlg( Window* pParent, ScViewData* pViewData, ScDocument* pSharedDoc, ScConflictsList& rConflictsList )
     :ModalDialog( pParent, ScResId( RID_SCDLG_CONFLICTS ) )
     ,maFtConflicts      ( this, ScResId( FT_CONFLICTS ) )
-    ,maLbConflicts      ( this, ScResId( LB_CONFLICTS ) )
+    ,m_aLbConflictsContainer(this, ScResId( LB_CONFLICTS))
+    ,maLbConflicts(m_aLbConflictsContainer)
     ,maBtnKeepMine      ( this, ScResId( BTN_KEEPMINE ) )
     ,maBtnKeepOther     ( this, ScResId( BTN_KEEPOTHER ) )
     ,maFlConflicts      ( this, ScResId( FL_CONFLICTS ) )
@@ -753,8 +740,8 @@ void ScConflictsDlg::Resize()
 
     lcl_ChangeControlWidth( maFtConflicts, nDeltaWidth );
 
-    lcl_ChangeControlWidth( maLbConflicts, nDeltaWidth );
-    lcl_ChangeControlHeight( maLbConflicts, nDeltaHeight );
+    lcl_ChangeControlWidth( m_aLbConflictsContainer, nDeltaWidth );
+    lcl_ChangeControlHeight( m_aLbConflictsContainer, nDeltaHeight );
 
     lcl_MoveControlX( maBtnKeepMine, nDeltaWidth / 2 );
     lcl_MoveControlY( maBtnKeepMine, nDeltaHeight );
diff --git a/sc/source/ui/miscdlgs/sharedocdlg.cxx b/sc/source/ui/miscdlgs/sharedocdlg.cxx
index 8f294ec..547336f 100644
--- a/sc/source/ui/miscdlgs/sharedocdlg.cxx
+++ b/sc/source/ui/miscdlgs/sharedocdlg.cxx
@@ -61,7 +61,8 @@ ScShareDocumentDlg::ScShareDocumentDlg( Window* pParent, ScViewData* pViewData )
     ,maFtWarning          ( this, ScResId( FT_WARNING ) )
     ,maFlUsers            ( this, ScResId( FL_USERS ) )
     ,maFtUsers            ( this, ScResId( FT_USERS ) )
-    ,maLbUsers            ( this, ScResId( LB_USERS ) )
+    ,m_aLbUsersContainer(this, ScResId(LB_USERS))
+    ,maLbUsers(m_aLbUsersContainer)
     ,maFlEnd              ( this, ScResId( FL_END ) )
     ,maBtnHelp            ( this, ScResId( BTN_HELP ) )
     ,maBtnOK              ( this, ScResId( BTN_OK ) )
commit 8fc8db4b1cd8c893f8ee8532f1bb08e79516dd7a
Author: Caolán McNamara <caolanm at redhat.com>
Date:   Wed Jul 13 21:30:53 2011 +0100

    ByteString::CreateFromInt32->rtl::OString::valueOf

diff --git a/sc/source/core/data/dptabres.cxx b/sc/source/core/data/dptabres.cxx
index fc5457f..b464e35 100644
--- a/sc/source/core/data/dptabres.cxx
+++ b/sc/source/core/data/dptabres.cxx
@@ -2838,7 +2838,7 @@ void ScDPResultDimension::LateInitFrom( LateInitParams& rParams/* const vector<S
 {
     if ( rParams.IsEnd( nPos ) )
         return;
-    OSL_ENSURE( nPos <= pItemData.size(), ByteString::CreateFromInt32( pItemData.size()).GetBuffer() );
+    OSL_ENSURE( nPos <= pItemData.size(), rtl::OString::valueOf(static_cast<sal_Int32>(pItemData.size())).getStr() );
     ScDPDimension* pThisDim = rParams.GetDim( nPos );
     ScDPLevel* pThisLevel = rParams.GetLevel( nPos );
     SCROW rThisData = pItemData[nPos];
commit 8ac49ef8ab4f065d7b7277779dcb15505c602c77
Author: Caolán McNamara <caolanm at redhat.com>
Date:   Tue Jul 12 22:40:20 2011 +0100

    Add basic sylk filter test

diff --git a/sc/qa/unit/data/slk/fail/.gitignore b/sc/qa/unit/data/slk/fail/.gitignore
new file mode 100644
index 0000000..e69de29
diff --git a/sc/qa/unit/data/slk/indeterminate/.gitignore b/sc/qa/unit/data/slk/indeterminate/.gitignore
new file mode 100644
index 0000000..e69de29
diff --git a/sc/qa/unit/data/slk/pass/.gitignore b/sc/qa/unit/data/slk/pass/.gitignore
new file mode 100644
index 0000000..e69de29
diff --git a/sc/qa/unit/data/slk/pass/ooo75775-1.slk b/sc/qa/unit/data/slk/pass/ooo75775-1.slk
new file mode 100644
index 0000000..df34ee0
--- /dev/null
+++ b/sc/qa/unit/data/slk/pass/ooo75775-1.slk
@@ -0,0 +1,1112 @@
+ID;PSCALC3
+C;X1;Y1;K"Code"
+C;X2;Y1;K"Product Name"
+C;X3;Y1;K4
+C;X4;Y1;K5
+C;X5;Y1;K6
+C;X6;Y1;K7
+C;X7;Y1;K8
+C;X8;Y1;K"REFERENCE"
+C;X9;Y1;K"REMARK1"
+C;X10;Y1;K"REMARK2"
+C;X1;Y2;K38143200
+C;X2;Y2;K"SDN-21T-P1.5"
+C;X3;Y2;K0
+C;X4;Y2;K0
+C;X5;Y2;K0
+C;X6;Y2;K0
+C;X7;Y2;K0
+C;X8;Y2;K"C070059"
+C;X9;Y2;K"7 MARCH 07 ED"
+C;X10;Y2;K"16 MARCH 07 ED"
+C;X1;Y3;K46483000
+C;X2;Y3;K"YLR-02VF"
+C;X3;Y3;K0
+C;X4;Y3;K0
+C;X5;Y3;K0
+C;X6;Y3;K0
+C;X7;Y3;K0
+C;X8;Y3;K"C070059"
+C;X9;Y3;K"7 MARCH 07 ED"
+C;X10;Y3;K"16 MARCH 07 ED"
+C;X1;Y4;K47451000
+C;X2;Y4;K"3P-SDN"
+C;X3;Y4;K0
+C;X4;Y4;K0
+C;X5;Y4;K0
+C;X6;Y4;K0
+C;X7;Y4;K0
+C;X8;Y4;K"C070059"
+C;X9;Y4;K"7 MARCH 07 ED"
+C;X10;Y4;K"16 MARCH 07 ED"
+C;X1;Y5;K52180200
+C;X2;Y5;K"RT-01N-2.3A                   "
+C;X3;Y5;K0
+C;X4;Y5;K0
+C;X5;Y5;K0
+C;X6;Y5;K0
+C;X7;Y5;K0
+C;X8;Y5;K"C070059"
+C;X9;Y5;K"7 MARCH 07 ED"
+C;X10;Y5;K"16 MARCH 07 ED"
+C;X1;Y6;K56470000
+C;X2;Y6;K"B2PS-VH (LF)(SN)              "
+C;X3;Y6;K0
+C;X4;Y6;K1000
+C;X5;Y6;K1000
+C;X6;Y6;K0
+C;X7;Y6;K0
+C;X8;Y6;K"C070059"
+C;X9;Y6;K"7 MARCH 07 ED"
+C;X10;Y6;K"16 MARCH 07 ED"
+C;X1;Y7;K56471600
+C;X2;Y7;K"B4PS-VH (LF)(SN)              "
+C;X3;Y7;K0
+C;X4;Y7;K0
+C;X5;Y7;K0
+C;X6;Y7;K0
+C;X7;Y7;K0
+C;X8;Y7;K"C070059"
+C;X9;Y7;K"7 MARCH 07 ED"
+C;X10;Y7;K"16 MARCH 07 ED"
+C;X1;Y8;K56472400
+C;X2;Y8;K"B5PS-VH (LF)(SN)"
+C;X3;Y8;K0
+C;X4;Y8;K0
+C;X5;Y8;K0
+C;X6;Y8;K0
+C;X7;Y8;K0
+C;X8;Y8;K"C070059"
+C;X9;Y8;K"7 MARCH 07 ED"
+C;X10;Y8;K"16 MARCH 07 ED"
+C;X1;Y9;K56476100
+C;X2;Y9;K"B2P3S-VH  (LF)(SN)"
+C;X3;Y9;K7000
+C;X4;Y9;K2000
+C;X5;Y9;K1000
+C;X6;Y9;K0
+C;X7;Y9;K0
+C;X8;Y9;K"C070059"
+C;X9;Y9;K"7 MARCH 07 ED"
+C;X10;Y9;K"16 MARCH 07 ED"
+C;X1;Y10;K56484500
+C;X2;Y10;K"S3P-VH (LF)(SN)               "
+C;X3;Y10;K0
+C;X4;Y10;K0
+C;X5;Y10;K0
+C;X6;Y10;K0
+C;X7;Y10;K0
+C;X8;Y10;K"C070059"
+C;X9;Y10;K"7 MARCH 07 ED"
+C;X10;Y10;K"16 MARCH 07 ED"
+C;X1;Y11;K56553000
+C;X2;Y11;K"B06B-XASK-1 (LF)(SN)          "
+C;X3;Y11;K2000
+C;X4;Y11;K3000
+C;X5;Y11;K3000
+C;X6;Y11;K0
+C;X7;Y11;K0
+C;X8;Y11;K"C070059"
+C;X9;Y11;K"7 MARCH 07 ED"
+C;X10;Y11;K"16 MARCH 07 ED"
+C;X1;Y12;K56560700
+C;X2;Y12;K"B03B-XASK-1-A (LF)(SN)        "
+C;X3;Y12;K0
+C;X4;Y12;K400
+C;X5;Y12;K1600
+C;X6;Y12;K0
+C;X7;Y12;K0
+C;X8;Y12;K"C070059"
+C;X9;Y12;K"7 MARCH 07 ED"
+C;X10;Y12;K"16 MARCH 07 ED"
+C;X1;Y13;K56561400
+C;X2;Y13;K"B04B-XASK-1-A (LF)(SN)        "
+C;X3;Y13;K2000
+C;X4;Y13;K6000
+C;X5;Y13;K6000
+C;X6;Y13;K0
+C;X7;Y13;K0
+C;X8;Y13;K"C070059"
+C;X9;Y13;K"7 MARCH 07 ED"
+C;X10;Y13;K"16 MARCH 07 ED"
+C;X1;Y14;K56562100
+C;X2;Y14;K"B05B-XASK-1-A (LF)(SN)        "
+C;X3;Y14;K1000
+C;X4;Y14;K1000
+C;X5;Y14;K400
+C;X6;Y14;K0
+C;X7;Y14;K0
+C;X8;Y14;K"C070059"
+C;X9;Y14;K"7 MARCH 07 ED"
+C;X10;Y14;K"16 MARCH 07 ED"
+C;X1;Y15;K56562600
+C;X2;Y15;K"B06B-XASK-1-A (LF)(SN)        "
+C;X3;Y15;K2000
+C;X4;Y15;K4000
+C;X5;Y15;K5000
+C;X6;Y15;K0
+C;X7;Y15;K0
+C;X8;Y15;K"C070059"
+C;X9;Y15;K"7 MARCH 07 ED"
+C;X10;Y15;K"16 MARCH 07 ED"
+C;X1;Y16;K56563100
+C;X2;Y16;K"B07B-XASK-1-A (LF)(SN)        "
+C;X3;Y16;K1000
+C;X4;Y16;K1000
+C;X5;Y16;K250
+C;X6;Y16;K0
+C;X7;Y16;K0
+C;X8;Y16;K"C070059"
+C;X9;Y16;K"7 MARCH 07 ED"
+C;X10;Y16;K"16 MARCH 07 ED"
+C;X1;Y17;K56564600
+C;X2;Y17;K"B10B-XASK-1-A (LF)(SN)        "
+C;X3;Y17;K1000
+C;X4;Y17;K4000
+C;X5;Y17;K5000
+C;X6;Y17;K0
+C;X7;Y17;K0
+C;X8;Y17;K"C070059"
+C;X9;Y17;K"7 MARCH 07 ED"
+C;X10;Y17;K"16 MARCH 07 ED"
+C;X1;Y18;K56613300
+C;X2;Y18;K"B18B-PHDSS(LF)(SN)"
+C;X3;Y18;K0
+C;X4;Y18;K500
+C;X5;Y18;K500
+C;X6;Y18;K0
+C;X7;Y18;K0
+C;X8;Y18;K"C070059"
+C;X9;Y18;K"7 MARCH 07 ED"
+C;X10;Y18;K"16 MARCH 07 ED"
+C;X1;Y19;K56614000
+C;X2;Y19;K"B20B-PHDSS  (LF)(SN)"
+C;X3;Y19;K0
+C;X4;Y19;K250
+C;X5;Y19;K250
+C;X6;Y19;K0
+C;X7;Y19;K0
+C;X8;Y19;K"C070059"
+C;X9;Y19;K"7 MARCH 07 ED"
+C;X10;Y19;K"16 MARCH 07 ED"
+C;X1;Y20;K56615200
+C;X2;Y20;K"B24B-PHDSS (LF)(SN)"
+C;X3;Y20;K0
+C;X4;Y20;K0
+C;X5;Y20;K0
+C;X6;Y20;K0
+C;X7;Y20;K0
+C;X8;Y20;K"C070059"
+C;X9;Y20;K"7 MARCH 07 ED"
+C;X10;Y20;K"16 MARCH 07 ED"
+C;X1;Y21;K56626000
+C;X2;Y21;K"S8B-PHDSS(LF)(SN)"
+C;X3;Y21;K0
+C;X4;Y21;K500
+C;X5;Y21;K0
+C;X6;Y21;K0
+C;X7;Y21;K0
+C;X8;Y21;K"C070059"
+C;X9;Y21;K"7 MARCH 07 ED"
+C;X10;Y21;K"16 MARCH 07 ED"
+C;X1;Y22;K56629200
+C;X2;Y22;K"S20B-PHDSS(LF)(SN)"
+C;X3;Y22;K0
+C;X4;Y22;K250
+C;X5;Y22;K250
+C;X6;Y22;K0
+C;X7;Y22;K0
+C;X8;Y22;K"C070059"
+C;X9;Y22;K"7 MARCH 07 ED"
+C;X10;Y22;K"16 MARCH 07 ED"
+C;X1;Y23;K56632400
+C;X2;Y23;K"S32B-PHDSS(LF)(SN)"
+C;X3;Y23;K0
+C;X4;Y23;K400
+C;X5;Y23;K400
+C;X6;Y23;K0
+C;X7;Y23;K0
+C;X8;Y23;K"C070059"
+C;X9;Y23;K"7 MARCH 07 ED"
+C;X10;Y23;K"16 MARCH 07 ED"
+C;X1;Y24;K56651600
+C;X2;Y24;K"B03B-PASK-1 (LF)(SN)"
+C;X3;Y24;K49000
+C;X4;Y24;K44000
+C;X5;Y24;K40000
+C;X6;Y24;K0
+C;X7;Y24;K0
+C;X8;Y24;K"C070059"
+C;X9;Y24;K"7 MARCH 07 ED"
+C;X10;Y24;K"16 MARCH 07 ED"
+C;X1;Y25;K56652600
+C;X2;Y25;K"B04B-PASK-1 (LF)(SN)          "
+C;X3;Y25;K0
+C;X4;Y25;K0
+C;X5;Y25;K0
+C;X6;Y25;K0
+C;X7;Y25;K0
+C;X8;Y25;K"C070059"
+C;X9;Y25;K"7 MARCH 07 ED"
+C;X10;Y25;K"16 MARCH 07 ED"
+C;X1;Y26;K56654200
+C;X2;Y26;K"B06B-PASK-1 (LF)(SN)"
+C;X3;Y26;K0
+C;X4;Y26;K500
+C;X5;Y26;K500
+C;X6;Y26;K0
+C;X7;Y26;K0
+C;X8;Y26;K"C070059"
+C;X9;Y26;K"7 MARCH 07 ED"
+C;X10;Y26;K"16 MARCH 07 ED"
+C;X1;Y27;K56655800
+C;X2;Y27;K"B08B-PASK-1 (LF)(SN)"
+C;X3;Y27;K0
+C;X4;Y27;K0
+C;X5;Y27;K0
+C;X6;Y27;K0
+C;X7;Y27;K0
+C;X8;Y27;K"C070059"
+C;X9;Y27;K"7 MARCH 07 ED"
+C;X10;Y27;K"16 MARCH 07 ED"
+C;X1;Y28;K56720000
+C;X2;Y28;K"B2P-SHF-1AA (LF)(SN)"
+C;X3;Y28;K0
+C;X4;Y28;K0
+C;X5;Y28;K0
+C;X6;Y28;K0
+C;X7;Y28;K0
+C;X8;Y28;K"C070059"
+C;X9;Y28;K"7 MARCH 07 ED"
+C;X10;Y28;K"16 MARCH 07 ED"
+C;X1;Y29;K56720800
+C;X2;Y29;K"B3P-SHF-1AA (LF)(SN)"
+C;X3;Y29;K0
+C;X4;Y29;K0
+C;X5;Y29;K0
+C;X6;Y29;K0
+C;X7;Y29;K0
+C;X8;Y29;K"C070059"
+C;X9;Y29;K"7 MARCH 07 ED"
+C;X10;Y29;K"16 MARCH 07 ED"
+C;X1;Y30;K56730500
+C;X2;Y30;K"BS3P-SHF-1AA (LF)(SN)"
+C;X3;Y30;K0
+C;X4;Y30;K0
+C;X5;Y30;K0
+C;X6;Y30;K0
+C;X7;Y30;K0
+C;X8;Y30;K"C070059"
+C;X9;Y30;K"7 MARCH 07 ED"
+C;X10;Y30;K"16 MARCH 07 ED"
+C;X1;Y31;K56732000
+C;X2;Y31;K"BS6P-SHF-1AA (LF)(SN)         "
+C;X3;Y31;K0
+C;X4;Y31;K0
+C;X5;Y31;K0
+C;X6;Y31;K0
+C;X7;Y31;K0
+C;X8;Y31;K"C070059"
+C;X9;Y31;K"7 MARCH 07 ED"
+C;X10;Y31;K"16 MARCH 07 ED"
+C;X1;Y32;K56920200
+C;X2;Y32;K"B10B-PHDSS (LF)(SN)(P)"
+C;X3;Y32;K0
+C;X4;Y32;K0
+C;X5;Y32;K0
+C;X6;Y32;K0
+C;X7;Y32;K0
+C;X8;Y32;K"C070059"
+C;X9;Y32;K"7 MARCH 07 ED"
+C;X10;Y32;K"16 MARCH 07 ED"
+C;X1;Y33;K57356400
+C;X2;Y33;K"B08P-XL-HDS (LF)(SN)"
+C;X3;Y33;K300
+C;X4;Y33;K400
+C;X5;Y33;K200
+C;X6;Y33;K0
+C;X7;Y33;K0
+C;X8;Y33;K"C070059"
+C;X9;Y33;K"7 MARCH 07 ED"
+C;X10;Y33;K"16 MARCH 07 ED"
+C;X1;Y34;K57357700
+C;X2;Y34;K"B12P-XL-HDS (LF)(SN)"
+C;X3;Y34;K300
+C;X4;Y34;K400
+C;X5;Y34;K300
+C;X6;Y34;K0
+C;X7;Y34;K0
+C;X8;Y34;K"C070059"
+C;X9;Y34;K"7 MARCH 07 ED"
+C;X10;Y34;K"16 MARCH 07 ED"
+C;X1;Y35;K57374100
+C;X2;Y35;K"B06B-PASK (LF)(SN)            "
+C;X3;Y35;K0
+C;X4;Y35;K0
+C;X5;Y35;K2000
+C;X6;Y35;K0
+C;X7;Y35;K0
+C;X8;Y35;K"C070059"
+C;X9;Y35;K"7 MARCH 07 ED"
+C;X10;Y35;K"16 MARCH 07 ED"
+C;X1;Y36;K57530600
+C;X2;Y36;K"S3B-PH-SM4-TB (LF)(SN)"
+C;X3;Y36;K34000
+C;X4;Y36;K42000
+C;X5;Y36;K40000
+C;X6;Y36;K0
+C;X7;Y36;K0
+C;X8;Y36;K"C070059"
+C;X9;Y36;K"7 MARCH 07 ED"
+C;X10;Y36;K"16 MARCH 07 ED"
+C;X1;Y37;K57628200
+C;X2;Y37;K"B14B-PASK-1N (LF)(SN)"
+C;X3;Y37;K0
+C;X4;Y37;K0
+C;X5;Y37;K0
+C;X6;Y37;K0
+C;X7;Y37;K0
+C;X8;Y37;K"C070059"
+C;X9;Y37;K"7 MARCH 07 ED"
+C;X10;Y37;K"16 MARCH 07 ED"
+C;X1;Y38;K57638200
+C;X2;Y38;K"BU04P-TZ-S (LF)(SN)"
+C;X3;Y38;K0
+C;X4;Y38;K0
+C;X5;Y38;K1000
+C;X6;Y38;K0
+C;X7;Y38;K0
+C;X8;Y38;K"C070059"
+C;X9;Y38;K"7 MARCH 07 ED"
+C;X10;Y38;K"16 MARCH 07 ED"
+C;X1;Y39;K57638300
+C;X2;Y39;K"BU05P-TZ-S (LF)(SN)"
+C;X3;Y39;K0
+C;X4;Y39;K0
+C;X5;Y39;K1000
+C;X6;Y39;K0
+C;X7;Y39;K0
+C;X8;Y39;K"C070059"
+C;X9;Y39;K"7 MARCH 07 ED"
+C;X10;Y39;K"16 MARCH 07 ED"
+C;X1;Y40;K57674800
+C;X2;Y40;K"B20B-XADSS-N (LF)(SN)"
+C;X3;Y40;K0
+C;X4;Y40;K0
+C;X5;Y40;K0
+C;X6;Y40;K0
+C;X7;Y40;K0
+C;X8;Y40;K"C070059"
+C;X9;Y40;K"7 MARCH 07 ED"
+C;X10;Y40;K"16 MARCH 07 ED"
+C;X1;Y41;K57675400
+C;X2;Y41;K"B22B-XADSS-N (LF)(SN)"
+C;X3;Y41;K0
+C;X4;Y41;K0
+C;X5;Y41;K0
+C;X6;Y41;K0
+C;X7;Y41;K0
+C;X8;Y41;K"C070059"
+C;X9;Y41;K"7 MARCH 07 ED"
+C;X10;Y41;K"16 MARCH 07 ED"
+C;X1;Y42;K57774700
+C;X2;Y42;K"B08B-XASK-1N (LF)(SN)         "
+C;X3;Y42;K0
+C;X4;Y42;K3000
+C;X5;Y42;K4000
+C;X6;Y42;K0
+C;X7;Y42;K0
+C;X8;Y42;K"C070059"
+C;X9;Y42;K"7 MARCH 07 ED"
+C;X10;Y42;K"16 MARCH 07 ED"
+C;X1;Y43;K57957000
+C;X2;Y43;K"B14B-PH-SM4-TB  (LF)(SN)"
+C;X3;Y43;K18000
+C;X4;Y43;K21000
+C;X5;Y43;K20000
+C;X6;Y43;K0
+C;X7;Y43;K0
+C;X8;Y43;K"C070059"
+C;X9;Y43;K"7 MARCH 07 ED"
+C;X10;Y43;K"16 MARCH 07 ED"
+C;X1;Y44;K58427500
+C;X2;Y44;K"S02B-VT (LF)(SN)              "
+C;X3;Y44;K11000
+C;X4;Y44;K17000
+C;X5;Y44;K14000
+C;X6;Y44;K0
+C;X7;Y44;K0
+C;X8;Y44;K"C070059"
+C;X9;Y44;K"7 MARCH 07 ED"
+C;X10;Y44;K"16 MARCH 07 ED"
+C;X1;Y45;K58550200
+C;X2;Y45;K"B02(12.4)P-VL                 "
+C;X3;Y45;K16000
+C;X4;Y45;K23000
+C;X5;Y45;K100
+C;X6;Y45;K0
+C;X7;Y45;K0
+C;X8;Y45;K"C070059"
+C;X9;Y45;K"7 MARCH 07 ED"
+C;X10;Y45;K"16 MARCH 07 ED"
+C;X1;Y46;K58551000
+C;X2;Y46;K"B03P-VL                       "
+C;X3;Y46;K0
+C;X4;Y46;K1000
+C;X5;Y46;K2000
+C;X6;Y46;K0
+C;X7;Y46;K0
+C;X8;Y46;K"C070059"
+C;X9;Y46;K"7 MARCH 07 ED"
+C;X10;Y46;K"16 MARCH 07 ED"
+C;X1;Y47;K58551100
+C;X2;Y47;K"B03P-VL-R                     "
+C;X3;Y47;K0
+C;X4;Y47;K0
+C;X5;Y47;K2000
+C;X6;Y47;K0
+C;X7;Y47;K0
+C;X8;Y47;K"C070059"
+C;X9;Y47;K"7 MARCH 07 ED"
+C;X10;Y47;K"16 MARCH 07 ED"
+C;X1;Y48;K58552000
+C;X2;Y48;K"B04P-VL                       "
+C;X3;Y48;K100
+C;X4;Y48;K100
+C;X5;Y48;K200
+C;X6;Y48;K0
+C;X7;Y48;K0
+C;X8;Y48;K"C070059"
+C;X9;Y48;K"7 MARCH 07 ED"
+C;X10;Y48;K"16 MARCH 07 ED"
+C;X1;Y49;K58555000
+C;X2;Y49;K"B12P-VL"
+C;X3;Y49;K0
+C;X4;Y49;K0
+C;X5;Y49;K0
+C;X6;Y49;K0
+C;X7;Y49;K0
+C;X8;Y49;K"C070059"
+C;X9;Y49;K"7 MARCH 07 ED"
+C;X10;Y49;K"16 MARCH 07 ED"
+C;X1;Y50;K58998300
+C;X2;Y50;K"SM03(4.0)B-BDBS-1-TB (LF)(SN)"
+C;X3;Y50;K34000
+C;X4;Y50;K42000
+C;X5;Y50;K40000
+C;X6;Y50;K0
+C;X7;Y50;K0
+C;X8;Y50;K"C070059"
+C;X9;Y50;K"7 MARCH 07 ED"
+C;X10;Y50;K"16 MARCH 07 ED"
+C;X1;Y51;K67197700
+C;X2;Y51;K"(W)B02B-VT (LF)(SN)"
+C;X3;Y51;K28000
+C;X4;Y51;K25000
+C;X5;Y51;K25000
+C;X6;Y51;K0
+C;X7;Y51;K0
+C;X8;Y51;K"C070059"
+C;X9;Y51;K"7 MARCH 07 ED"
+C;X10;Y51;K"16 MARCH 07 ED"
+C;X1;Y52;K67230400
+C;X2;Y52;K"(W)B7B-EH-F1 (LF)(SN)         "
+C;X3;Y52;K9000
+C;X4;Y52;K15000
+C;X5;Y52;K22000
+C;X6;Y52;K0
+C;X7;Y52;K0
+C;X8;Y52;K"C070059"
+C;X9;Y52;K"7 MARCH 07 ED"
+C;X10;Y52;K"16 MARCH 07 ED"
+C;X1;Y53;K67230500
+C;X2;Y53;K"(W)B8B-EH-F1 (LF)(SN)         "
+C;X3;Y53;K126000
+C;X4;Y53;K169000
+C;X5;Y53;K154000
+C;X6;Y53;K0
+C;X7;Y53;K0
+C;X8;Y53;K"C070059"
+C;X9;Y53;K"7 MARCH 07 ED"
+C;X10;Y53;K"16 MARCH 07 ED"
+C;X1;Y54;K67230600
+C;X2;Y54;K"(W)B9B-EH-F1 (LF)(SN)         "
+C;X3;Y54;K58000
+C;X4;Y54;K61000
+C;X5;Y54;K54000
+C;X6;Y54;K0
+C;X7;Y54;K0
+C;X8;Y54;K"C070059"
+C;X9;Y54;K"7 MARCH 07 ED"
+C;X10;Y54;K"16 MARCH 07 ED"
+C;X1;Y55;K67230700
+C;X2;Y55;K"(W)B10B-EH-F1 (LF)(SN)        "
+C;X3;Y55;K11000
+C;X4;Y55;K24000
+C;X5;Y55;K43000
+C;X6;Y55;K0
+C;X7;Y55;K0
+C;X8;Y55;K"C070059"
+C;X9;Y55;K"7 MARCH 07 ED"
+C;X10;Y55;K"16 MARCH 07 ED"
+C;X1;Y56;K67260000
+C;X2;Y56;K"(W)B2B-EH (LF)(SN)"
+C;X3;Y56;K2000
+C;X4;Y56;K1000
+C;X5;Y56;K1000
+C;X6;Y56;K0
+C;X7;Y56;K0
+C;X8;Y56;K"C070059"
+C;X9;Y56;K"7 MARCH 07 ED"
+C;X10;Y56;K"16 MARCH 07 ED"
+C;X1;Y57;K67260600
+C;X2;Y57;K"(W)B3B-EH (LF)(SN)            "
+C;X3;Y57;K2000
+C;X4;Y57;K4000
+C;X5;Y57;K7000
+C;X6;Y57;K0
+C;X7;Y57;K0
+C;X8;Y57;K"C070059"
+C;X9;Y57;K"7 MARCH 07 ED"
+C;X10;Y57;K"16 MARCH 07 ED"
+C;X1;Y58;K67270000
+C;X2;Y58;K"(W)B2B-PH-K-S (LF)(SN)        "
+C;X3;Y58;K0
+C;X4;Y58;K1000
+C;X5;Y58;K1000
+C;X6;Y58;K0
+C;X7;Y58;K0
+C;X8;Y58;K"C070059"
+C;X9;Y58;K"7 MARCH 07 ED"
+C;X10;Y58;K"16 MARCH 07 ED"
+C;X1;Y59;K67271600
+C;X2;Y59;K"(W)B3B-PH-K-S (LF)(SN)        "
+C;X3;Y59;K1000
+C;X4;Y59;K21000
+C;X5;Y59;K35000
+C;X6;Y59;K0
+C;X7;Y59;K0
+C;X8;Y59;K"C070059"
+C;X9;Y59;K"7 MARCH 07 ED"
+C;X10;Y59;K"16 MARCH 07 ED"
+C;X1;Y60;K67272600
+C;X2;Y60;K"(W)B4B-PH-K-S (LF)(SN)"
+C;X3;Y60;K0
+C;X4;Y60;K37000
+C;X5;Y60;K66000
+C;X6;Y60;K0
+C;X7;Y60;K0
+C;X8;Y60;K"C070059"
+C;X9;Y60;K"7 MARCH 07 ED"
+C;X10;Y60;K"16 MARCH 07 ED"
+C;X1;Y61;K67273400
+C;X2;Y61;K"(W)B5B-PH-K-S (LF)(SN)        "
+C;X3;Y61;K49000
+C;X4;Y61;K44000
+C;X5;Y61;K40000
+C;X6;Y61;K0
+C;X7;Y61;K0
+C;X8;Y61;K"C070059"
+C;X9;Y61;K"7 MARCH 07 ED"
+C;X10;Y61;K"16 MARCH 07 ED"
+C;X1;Y62;K67274500
+C;X2;Y62;K"(W)B6B-PH-K-S (LF)(SN)        "
+C;X3;Y62;K60000
+C;X4;Y62;K65000
+C;X5;Y62;K78000
+C;X6;Y62;K0
+C;X7;Y62;K0
+C;X8;Y62;K"C070059"
+C;X9;Y62;K"7 MARCH 07 ED"
+C;X10;Y62;K"16 MARCH 07 ED"
+C;X1;Y63;K67275400
+C;X2;Y63;K"(W)B7B-PH-K-S (LF)(SN)        "
+C;X3;Y63;K0
+C;X4;Y63;K0
+C;X5;Y63;K0
+C;X6;Y63;K0
+C;X7;Y63;K0
+C;X8;Y63;K"C070059"
+C;X9;Y63;K"7 MARCH 07 ED"
+C;X10;Y63;K"16 MARCH 07 ED"
+C;X1;Y64;K67276200
+C;X2;Y64;K"(W)B8B-PH-K-S (LF)(SN)"
+C;X3;Y64;K0
+C;X4;Y64;K19000
+C;X5;Y64;K33000
+C;X6;Y64;K0
+C;X7;Y64;K0
+C;X8;Y64;K"C070059"
+C;X9;Y64;K"7 MARCH 07 ED"
+C;X10;Y64;K"16 MARCH 07 ED"
+C;X1;Y65;K67277000
+C;X2;Y65;K"(W)B9B-PH-K-S (LF)(SN)        "
+C;X3;Y65;K3000
+C;X4;Y65;K11000
+C;X5;Y65;K13000
+C;X6;Y65;K0
+C;X7;Y65;K0
+C;X8;Y65;K"C070059"
+C;X9;Y65;K"7 MARCH 07 ED"
+C;X10;Y65;K"16 MARCH 07 ED"
+C;X1;Y66;K67277800
+C;X2;Y66;K"(W)B10B-PH-K-S (LF)(SN)       "
+C;X3;Y66;K52000
+C;X4;Y66;K49000
+C;X5;Y66;K45000
+C;X6;Y66;K0
+C;X7;Y66;K0
+C;X8;Y66;K"C070059"
+C;X9;Y66;K"7 MARCH 07 ED"
+C;X10;Y66;K"16 MARCH 07 ED"
+C;X1;Y67;K67278600
+C;X2;Y67;K"(W)B11B-PH-K-S (LF)(SN)       "
+C;X3;Y67;K27000
+C;X4;Y67;K26000
+C;X5;Y67;K29000
+C;X6;Y67;K0
+C;X7;Y67;K0
+C;X8;Y67;K"C070059"
+C;X9;Y67;K"7 MARCH 07 ED"
+C;X10;Y67;K"16 MARCH 07 ED"
+C;X1;Y68;K67279200
+C;X2;Y68;K"(W)B12B-PH-K-S (LF)(SN)       "
+C;X3;Y68;K36000
+C;X4;Y68;K64000
+C;X5;Y68;K74000
+C;X6;Y68;K0
+C;X7;Y68;K0
+C;X8;Y68;K"C070059"
+C;X9;Y68;K"7 MARCH 07 ED"
+C;X10;Y68;K"16 MARCH 07 ED"
+C;X1;Y69;K67279800
+C;X2;Y69;K"(W)B13B-PH-K-S (LF)(SN)       "
+C;X3;Y69;K0
+C;X4;Y69;K0
+C;X5;Y69;K0
+C;X6;Y69;K0
+C;X7;Y69;K0
+C;X8;Y69;K"C070059"
+C;X9;Y69;K"7 MARCH 07 ED"
+C;X10;Y69;K"16 MARCH 07 ED"
+C;X1;Y70;K67280300
+C;X2;Y70;K"(W)B14B-PH-K-S (LF)(SN)       "
+C;X3;Y70;K56000
+C;X4;Y70;K63000
+C;X5;Y70;K74000
+C;X6;Y70;K0
+C;X7;Y70;K0
+C;X8;Y70;K"C070059"
+C;X9;Y70;K"7 MARCH 07 ED"
+C;X10;Y70;K"16 MARCH 07 ED"
+C;X1;Y71;K67280800
+C;X2;Y71;K"(W)B15B-PH-K-S (LF)(SN)"
+C;X3;Y71;K49000
+C;X4;Y71;K44000
+C;X5;Y71;K40000
+C;X6;Y71;K0
+C;X7;Y71;K0
+C;X8;Y71;K"C070059"
+C;X9;Y71;K"7 MARCH 07 ED"
+C;X10;Y71;K"16 MARCH 07 ED"
+C;X1;Y72;K67340000
+C;X2;Y72;K"(W)B2P-VH (LF)(SN)            "
+C;X3;Y72;K0
+C;X4;Y72;K9000
+C;X5;Y72;K4000
+C;X6;Y72;K0
+C;X7;Y72;K0
+C;X8;Y72;K"C070059"
+C;X9;Y72;K"7 MARCH 07 ED"
+C;X10;Y72;K"16 MARCH 07 ED"
+C;X1;Y73;K67340700
+C;X2;Y73;K"(W)B2P-VH-B (LF)(SN)          "
+C;X3;Y73;K0
+C;X4;Y73;K0
+C;X5;Y73;K2000
+C;X6;Y73;K0
+C;X7;Y73;K0
+C;X8;Y73;K"C070059"
+C;X9;Y73;K"7 MARCH 07 ED"
+C;X10;Y73;K"16 MARCH 07 ED"
+C;X1;Y74;K67341200
+C;X2;Y74;K"(W)B3P-VH (LF)(SN)            "
+C;X3;Y74;K0
+C;X4;Y74;K0
+C;X5;Y74;K0
+C;X6;Y74;K0
+C;X7;Y74;K0
+C;X8;Y74;K"C070059"
+C;X9;Y74;K"7 MARCH 07 ED"
+C;X10;Y74;K"16 MARCH 07 ED"
+C;X1;Y75;K67342400
+C;X2;Y75;K"(W)B4P-VH (LF)(SN)            "
+C;X3;Y75;K29000
+C;X4;Y75;K45000
+C;X5;Y75;K40000
+C;X6;Y75;K0
+C;X7;Y75;K0
+C;X8;Y75;K"C070059"
+C;X9;Y75;K"7 MARCH 07 ED"
+C;X10;Y75;K"16 MARCH 07 ED"
+C;X1;Y76;K67343400
+C;X2;Y76;K"(W)B5P-VH (LF)(SN)            "
+C;X3;Y76;K49000
+C;X4;Y76;K44000
+C;X5;Y76;K41000
+C;X6;Y76;K0
+C;X7;Y76;K0
+C;X8;Y76;K"C070059"
+C;X9;Y76;K"7 MARCH 07 ED"
+C;X10;Y76;K"16 MARCH 07 ED"
+C;X1;Y77;K67344200
+C;X2;Y77;K"(W)B6P-VH (LF)(SN)            "
+C;X3;Y77;K0
+C;X4;Y77;K0
+C;X5;Y77;K2000
+C;X6;Y77;K0
+C;X7;Y77;K0
+C;X8;Y77;K"C070059"
+C;X9;Y77;K"7 MARCH 07 ED"
+C;X10;Y77;K"16 MARCH 07 ED"
+C;X1;Y78;K67344700
+C;X2;Y78;K"(W)B6P-VH-B (LF)(SN);"
+C;X3;Y78;K0
+C;X4;Y78;K500
+C;X5;Y78;K2000
+C;X6;Y78;K0
+C;X7;Y78;K0
+C;X8;Y78;K"C070059"
+C;X9;Y78;K"7 MARCH 07 ED"
+C;X10;Y78;K"16 MARCH 07 ED"
+C;X1;Y79;K67345500
+C;X2;Y79;K"(W)B7P-VH-B (LF)(SN);         "
+C;X3;Y79;K2000
+C;X4;Y79;K4000
+C;X5;Y79;K5000
+C;X6;Y79;K0
+C;X7;Y79;K0
+C;X8;Y79;K"C070059"
+C;X9;Y79;K"7 MARCH 07 ED"
+C;X10;Y79;K"16 MARCH 07 ED"
+C;X1;Y80;K67345800
+C;X2;Y80;K"(W)B8P-VH (LF)(SN)            "
+C;X3;Y80;K0
+C;X4;Y80;K1000
+C;X5;Y80;K1000
+C;X6;Y80;K0
+C;X7;Y80;K0
+C;X8;Y80;K"C070059"
+C;X9;Y80;K"7 MARCH 07 ED"
+C;X10;Y80;K"16 MARCH 07 ED"
+C;X1;Y81;K67347000
+C;X2;Y81;K"(W)B9P-VH-B  (LF)(SN);        "
+C;X3;Y81;K0
+C;X4;Y81;K1000
+C;X5;Y81;K2000
+C;X6;Y81;K0
+C;X7;Y81;K0
+C;X8;Y81;K"C070059"
+C;X9;Y81;K"7 MARCH 07 ED"
+C;X10;Y81;K"16 MARCH 07 ED"
+C;X1;Y82;K67347200
+C;X2;Y82;K"(W)B10P-VH (LF)(SN)           "
+C;X3;Y82;K0
+C;X4;Y82;K0
+C;X5;Y82;K0
+C;X6;Y82;K0
+C;X7;Y82;K0
+C;X8;Y82;K"C070059"
+C;X9;Y82;K"7 MARCH 07 ED"
+C;X10;Y82;K"16 MARCH 07 ED"
+C;X1;Y83;K67347600
+C;X2;Y83;K"(W)B10P-VH-B (LF)(SN);        "
+C;X3;Y83;K0
+C;X4;Y83;K0
+C;X5;Y83;K2000
+C;X6;Y83;K0
+C;X7;Y83;K0
+C;X8;Y83;K"C070059"
+C;X9;Y83;K"7 MARCH 07 ED"
+C;X10;Y83;K"16 MARCH 07 ED"
+C;X1;Y84;K67347800
+C;X2;Y84;K"(W)B2P3-VH (LF)(SN)           "
+C;X3;Y84;K59000
+C;X4;Y84;K61000
+C;X5;Y84;K59000
+C;X6;Y84;K0
+C;X7;Y84;K0
+C;X8;Y84;K"C070059"
+C;X9;Y84;K"7 MARCH 07 ED"
+C;X10;Y84;K"16 MARCH 07 ED"
+C;X1;Y85;K67350200
+C;X2;Y85;K"(W)B3P5-VH (LF)(SN)"
+C;X3;Y85;K0
+C;X4;Y85;K1000
+C;X5;Y85;K0
+C;X6;Y85;K0
+C;X7;Y85;K0
+C;X8;Y85;K"C070059"
+C;X9;Y85;K"7 MARCH 07 ED"
+C;X10;Y85;K"16 MARCH 07 ED"
+C;X1;Y86;K67350700
+C;X2;Y86;K"(W)B3P5-VH-B (LF)(SN);        "
+C;X3;Y86;K2000
+C;X4;Y86;K3000
+C;X5;Y86;K3000
+C;X6;Y86;K0
+C;X7;Y86;K0
+C;X8;Y86;K"C070059"
+C;X9;Y86;K"7 MARCH 07 ED"
+C;X10;Y86;K"16 MARCH 07 ED"
+C;X1;Y87;K67383100
+C;X2;Y87;K"(W)B4P-VH-B (LF)(SN);"
+C;X3;Y87;K0
+C;X4;Y87;K1000
+C;X5;Y87;K2000
+C;X6;Y87;K0
+C;X7;Y87;K0
+C;X8;Y87;K"C070059"
+C;X9;Y87;K"7 MARCH 07 ED"
+C;X10;Y87;K"16 MARCH 07 ED"
+C;X1;Y88;K67384000
+C;X2;Y88;K"(W)B8P-VH-B  (LF)(SN);"
+C;X3;Y88;K0
+C;X4;Y88;K1000
+C;X5;Y88;K2000
+C;X6;Y88;K0
+C;X7;Y88;K0
+C;X8;Y88;K"C070059"
+C;X9;Y88;K"7 MARCH 07 ED"
+C;X10;Y88;K"16 MARCH 07 ED"
+C;X1;Y89;K67384500
+C;X2;Y89;K"(W)B2P3-VH-B (LF)(SN);        "
+C;X3;Y89;K0
+C;X4;Y89;K1000
+C;X5;Y89;K3000
+C;X6;Y89;K0
+C;X7;Y89;K0
+C;X8;Y89;K"C070059"
+C;X9;Y89;K"7 MARCH 07 ED"
+C;X10;Y89;K"16 MARCH 07 ED"
+C;X1;Y90;K67385300
+C;X2;Y90;K"(W)B2P4-VH-B (LF)(SN);"
+C;X3;Y90;K0
+C;X4;Y90;K0
+C;X5;Y90;K0
+C;X6;Y90;K0
+C;X7;Y90;K0
+C;X8;Y90;K"C070059"
+C;X9;Y90;K"7 MARCH 07 ED"
+C;X10;Y90;K"16 MARCH 07 ED"
+C;X1;Y91;K67559900
+C;X2;Y91;K"(G)S2B-XH-A (LF)(SN)"
+C;X3;Y91;K0
+C;X4;Y91;K1000
+C;X5;Y91;K0
+C;X6;Y91;K0
+C;X7;Y91;K0
+C;X8;Y91;K"C070059"
+C;X9;Y91;K"7 MARCH 07 ED"
+C;X10;Y91;K"16 MARCH 07 ED"
+C;X1;Y92;K67563400
+C;X2;Y92;K"(G)S6B-XH-A (LF)(SN)"
+C;X3;Y92;K0
+C;X4;Y92;K0
+C;X5;Y92;K0
+C;X6;Y92;K0
+C;X7;Y92;K0
+C;X8;Y92;K"C070059"
+C;X9;Y92;K"7 MARCH 07 ED"
+C;X10;Y92;K"16 MARCH 07 ED"
+C;X1;Y93;K67564800
+C;X2;Y93;K"(G)S8B-XH-A (LF)(SN)          "
+C;X3;Y93;K0
+C;X4;Y93;K500
+C;X5;Y93;K1000
+C;X6;Y93;K0
+C;X7;Y93;K0
+C;X8;Y93;K"C070059"
+C;X9;Y93;K"7 MARCH 07 ED"
+C;X10;Y93;K"16 MARCH 07 ED"
+C;X1;Y94;K67565500
+C;X2;Y94;K"(G)S9B-XH-A (LF)(SN)          "
+C;X3;Y94;K0
+C;X4;Y94;K0
+C;X5;Y94;K0
+C;X6;Y94;K0
+C;X7;Y94;K0
+C;X8;Y94;K"C070059"
+C;X9;Y94;K"7 MARCH 07 ED"
+C;X10;Y94;K"16 MARCH 07 ED"
+C;X1;Y95;K67567000
+C;X2;Y95;K"(G)S12B-XH-A (LF)(SN)         "
+C;X3;Y95;K0
+C;X4;Y95;K0
+C;X5;Y95;K0
+C;X6;Y95;K0
+C;X7;Y95;K0
+C;X8;Y95;K"C070059"
+C;X9;Y95;K"7 MARCH 07 ED"
+C;X10;Y95;K"16 MARCH 07 ED"
+C;X1;Y96;K67570900
+C;X2;Y96;K"(G)S10B-XH-A-1 (LF)(SN)"
+C;X3;Y96;K0
+C;X4;Y96;K0
+C;X5;Y96;K0
+C;X6;Y96;K0
+C;X7;Y96;K0
+C;X8;Y96;K"C070059"
+C;X9;Y96;K"7 MARCH 07 ED"
+C;X10;Y96;K"16 MARCH 07 ED"
+C;X1;Y97;K67626000
+C;X2;Y97;K"(G)B02B-CZHK-B1 (LF)(SN)(V)"
+C;X3;Y97;K0
+C;X4;Y97;K0
+C;X5;Y97;K0
+C;X6;Y97;K0
+C;X7;Y97;K0
+C;X8;Y97;K"C070059"
+C;X9;Y97;K"7 MARCH 07 ED"
+C;X10;Y97;K"16 MARCH 07 ED"
+C;X1;Y98;K67626400
+C;X2;Y98;K"(G)B06B-CZHK-B1 (LF)(SN)(V)"
+C;X3;Y98;K0
+C;X4;Y98;K0
+C;X5;Y98;K0
+C;X6;Y98;K0
+C;X7;Y98;K0
+C;X8;Y98;K"C070059"
+C;X9;Y98;K"7 MARCH 07 ED"
+C;X10;Y98;K"16 MARCH 07 ED"
+C;X1;Y99;K67627500
+C;X2;Y99;K"(G)B17B-CZHK-B1 (LF)(SN)(V)   "
+C;X3;Y99;K2000
+C;X4;Y99;K14000
+C;X5;Y99;K0
+C;X6;Y99;K0
+C;X7;Y99;K0
+C;X8;Y99;K"C070059"
+C;X9;Y99;K"7 MARCH 07 ED"
+C;X10;Y99;K"16 MARCH 07 ED"
+C;X1;Y100;K67660000
+C;X2;Y100;K"(G)B2B-XH-A (LF)(SN);"
+C;X3;Y100;K3000
+C;X4;Y100;K1000
+C;X5;Y100;K3000
+C;X6;Y100;K0
+C;X7;Y100;K0
+C;X8;Y100;K"C070059"
+C;X9;Y100;K"7 MARCH 07 ED"
+C;X10;Y100;K"16 MARCH 07 ED"
+C;X1;Y101;K67661000
+C;X2;Y101;K"(G)B3B-XH-A (LF)(SN);"
+C;X3;Y101;K1000
+C;X4;Y101;K2000
+C;X5;Y101;K4000
+C;X6;Y101;K0
+C;X7;Y101;K0
+C;X8;Y101;K"C070059"
+C;X9;Y101;K"7 MARCH 07 ED"
+C;X10;Y101;K"16 MARCH 07 ED"
+C;X1;Y102;K67662000
+C;X2;Y102;K"(G)B4B-XH-A (LF)(SN);"
+C;X3;Y102;K19000
+C;X4;Y102;K19000
+C;X5;Y102;K18000
+C;X6;Y102;K0
+C;X7;Y102;K0
+C;X8;Y102;K"C070059"
+C;X9;Y102;K"7 MARCH 07 ED"
+C;X10;Y102;K"16 MARCH 07 ED"
+C;X1;Y103;K67663600
+C;X2;Y103;K"(G)B6B-XH-A (LF)(SN);"
+C;X3;Y103;K0
+C;X4;Y103;K0
+C;X5;Y103;K0
+C;X6;Y103;K0
+C;X7;Y103;K0
+C;X8;Y103;K"C070059"
+C;X9;Y103;K"7 MARCH 07 ED"
+C;X10;Y103;K"16 MARCH 07 ED"
+C;X1;Y104;K67665200
+C;X2;Y104;K"(G)B8B-XH-A (LF)(SN);"
+C;X3;Y104;K0
+C;X4;Y104;K0
+C;X5;Y104;K0
+C;X6;Y104;K0
+C;X7;Y104;K0
+C;X8;Y104;K"C070059"
+C;X9;Y104;K"7 MARCH 07 ED"
+C;X10;Y104;K"16 MARCH 07 ED"
+C;X1;Y105;K67669400
+C;X2;Y105;K"(G)B15B-XH-A (LF)(SN);"
+C;X3;Y105;K0
+C;X4;Y105;K0
+C;X5;Y105;K0
+C;X6;Y105;K0
+C;X7;Y105;K0
+C;X8;Y105;K"C070059"
+C;X9;Y105;K"7 MARCH 07 ED"
+C;X10;Y105;K"16 MARCH 07 ED"
+C;X1;Y106;K68143200
+C;X2;Y106;K"(W)35FE-BT-VK-N               "
+C;X3;Y106;K0
+C;X4;Y106;K400
+C;X5;Y106;K0
+C;X6;Y106;K0
+C;X7;Y106;K0
+C;X8;Y106;K"C070059"
+C;X9;Y106;K"7 MARCH 07 ED"
+C;X10;Y106;K"16 MARCH 07 ED"
+C;X1;Y107;K68970700
+C;X2;Y107;K"(Q)10JQ-BT                    "
+C;X3;Y107;K0
+C;X4;Y107;K0
+C;X5;Y107;K0
+C;X6;Y107;K0
+C;X7;Y107;K0
+C;X8;Y107;K"C070059"
+C;X9;Y107;K"7 MARCH 07 ED"
+C;X10;Y107;K"16 MARCH 07 ED"
+C;X1;Y108;K68978200
+C;X2;Y108;K"(Q)15JQ-ST                    "
+C;X3;Y108;K0
+C;X4;Y108;K0
+C;X5;Y108;K0
+C;X6;Y108;K0
+C;X7;Y108;K0
+C;X8;Y108;K"C070059"
+C;X9;Y108;K"7 MARCH 07 ED"
+C;X10;Y108;K"16 MARCH 07 ED"
+C;X1;Y109;K69778600
+C;X2;Y109;K"(F)B2P-VA-BF (LF)(SN)         "
+C;X3;Y109;K0
+C;X4;Y109;K8000
+C;X5;Y109;K36000
+C;X6;Y109;K0
+C;X7;Y109;K0
+C;X8;Y109;K"C070059"
+C;X9;Y109;K"7 MARCH 07 ED"
+C;X10;Y109;K"16 MARCH 07 ED"
+C;X1;Y110;K69778800
+C;X2;Y110;K"(F)B2P-VA-BF-BK (LF)(SN)      "
+C;X3;Y110;K10000
+C;X4;Y110;K58000
+C;X5;Y110;K63000
+C;X6;Y110;K0
+C;X7;Y110;K0
+C;X8;Y110;K"C070059"
+C;X9;Y110;K"7 MARCH 07 ED"
+C;X10;Y110;K"16 MARCH 07 ED"
+C;X1;Y111;K67666000
+C;X2;Y111;K"(G)B9B-XH-A (LF)(SN);"
+C;X3;Y111;K250
+C;X4;Y111;K250
+C;X5;Y111;K1000
+C;X6;Y111;K0
+C;X7;Y111;K0
+C;X8;Y111;K"C070059"
+C;X9;Y111;K"7 MARCH 07 ED"
+C;X10;Y111;K"16 MARCH 07 ED"
+E
diff --git a/sc/qa/unit/data/slk/pass/ooo75775-2.slk b/sc/qa/unit/data/slk/pass/ooo75775-2.slk
new file mode 100644
index 0000000..dd0d824
--- /dev/null
+++ b/sc/qa/unit/data/slk/pass/ooo75775-2.slk
@@ -0,0 +1,1171 @@
+ID;PWXL;N;E
+P;PGeneral
+P;P0
+P;P0.00
+P;P#,##0
+P;P#,##0.00
+P;P#,##0_);;\(#,##0\)
+P;P#,##0_);;[Red]\(#,##0\)
+P;P#,##0.00_);;\(#,##0.00\)
+P;P#,##0.00_);;[Red]\(#,##0.00\)
+P;P"$"#,##0_);;\("$"#,##0\)
+P;P"$"#,##0_);;[Red]\("$"#,##0\)
+P;P"$"#,##0.00_);;\("$"#,##0.00\)
+P;P"$"#,##0.00_);;[Red]\("$"#,##0.00\)
+P;P0%
+P;P0.00%
+P;P0.00E+00
+P;P##0.0E+0
+P;P#\ ?/?
+P;P#\ ??/??
+P;Pm/d/yyyy
+P;Pd\-mmm\-yy
+P;Pd\-mmm
+P;Pmmm\-yy
+P;Ph:mm\ AM/PM
+P;Ph:mm:ss\ AM/PM
+P;Ph:mm
+P;Ph:mm:ss
+P;Pm/d/yyyy\ h:mm
+P;Pmm:ss
+P;Pmm:ss.0
+P;P@
+P;P[h]:mm:ss
+P;P_("$"* #,##0_);;_("$"* \(#,##0\);;_("$"* "-"_);;_(@_)
+P;P_(* #,##0_);;_(* \(#,##0\);;_(* "-"_);;_(@_)
+P;P_("$"* #,##0.00_);;_("$"* \(#,##0.00\);;_("$"* "-"??_);;_(@_)
+P;P_(* #,##0.00_);;_(* \(#,##0.00\);;_(* "-"??_);;_(@_)
+P;FArial;M200
+P;FArial;M200
+P;FArial;M200
+P;FArial;M200
+F;P0;DG0G8;M255
+B;Y112;X10;D0 0 111 9
+O;L;D;V0;K47;G100 0.001
+F;W1 1 14
+F;W2 2 31
+F;W8 8 12
+F;W9 9 13
+F;W11 11 4
+F;P30;FG0C;C1
+C;Y1;X1;K"Code"
+C;X2;K"Product Name"
+C;X3;K3
+C;X4;K4
+C;X5;K5
+C;X6;K6
+C;X7;K7
+C;X8;K"REFERENCE"
+C;X9;K"REMARK1"
+C;X10;K"REMARK2"
+C;Y2;X1;K"07117000"
+C;X2;K"RAV1.25-4 (V1.25-4 WITH JIS)  "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y3;X1;K"08092100"
+C;X2;K"RAV2-5 (V2-5 WITH JIS)        "
+C;X3;K15000
+C;X4;K15000
+C;X5;K15000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y4;X1;K35094200
+C;X2;K"SRA-51T-4                     "
+C;X3;K30000
+C;X4;K30000
+C;X5;K30000
+C;X6;K15000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y5;X1;K36043200
+C;X2;K"STO-41T-187N                  "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y6;X1;K36047200
+C;X2;K"STO-41T-187N-8                "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y7;X1;K36085200
+C;X2;K"STO-61T-250N                  "
+C;X3;K0
+C;X4;K5000
+C;X5;K0
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y8;X1;K36152200
+C;X2;K"SFO-61T-250A                  "
+C;X3;K6000
+C;X4;K6000
+C;X5;K6000
+C;X6;K6000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y9;X1;K37063200
+C;X2;K"SVM-61T-P2.0                  "
+C;X3;K20000
+C;X4;K20000
+C;X5;K20000
+C;X6;K20000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y10;X1;K37065200
+C;X2;K"SVF-61T-P2.0                  "
+C;X3;K20000
+C;X4;K20000
+C;X5;K20000
+C;X6;K20000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y11;X1;K37117200
+C;X2;K"SZF-01T-P0.7                  "
+C;X3;K30000
+C;X4;K30000
+C;X5;K30000
+C;X6;K30000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y12;X1;K37120200
+C;X2;K"SZF-41T-P0.7                  "
+C;X3;K12000
+C;X4;K12000
+C;X5;K12000
+C;X6;K12000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y13;X1;K37122200
+C;X2;K"SYM-01T-P0.5A                 "
+C;X3;K120000
+C;X4;K120000
+C;X5;K120000
+C;X6;K100000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y14;X1;K37125200
+C;X2;K"SYM-41T-P0.5A                 "
+C;X3;K40000
+C;X4;K40000
+C;X5;K40000
+C;X6;K40000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y15;X1;K37131200
+C;X2;K"SYF-01T-P0.5A                 "
+C;X3;K120000
+C;X4;K120000
+C;X5;K120000
+C;X6;K100000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y16;X1;K37134200
+C;X2;K"SYF-41T-P0.5A                 "
+C;X3;K40000
+C;X4;K40000
+C;X5;K40000
+C;X6;K40000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y17;X1;K37135200
+C;X2;K"SSM-01T-P1.4                  "
+C;X3;K300000
+C;X4;K300000
+C;X5;K300000
+C;X6;K300000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y18;X1;K37140200
+C;X2;K"SSF-21T-P1.4                  "
+C;X3;K150000
+C;X4;K150000
+C;X5;K150000
+C;X6;K100000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y19;X1;K37157200
+C;X2;K"SXM-001T-P0.6                 "
+C;X3;K400000
+C;X4;K400000
+C;X5;K400000
+C;X6;K400000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y20;X1;K38147200
+C;X2;K"SPHD-001T-P0.5                "
+C;X3;K30000
+C;X4;K30000
+C;X5;K30000
+C;X6;K30000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y21;X1;K38157200
+C;X2;K"SXA-001T-P0.6 (N)             "
+C;X3;K400000
+C;X4;K400000
+C;X5;K400000
+C;X6;K400000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y22;X1;K38157700
+C;X2;K"SXA-001T-P0.6L (N)            "
+C;X3;K300000
+C;X4;K300000
+C;X5;K300000
+C;X6;K300000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y23;X1;K38195200
+C;X2;K"SPND-001T-C0.5                "
+C;X3;K16000
+C;X4;K8000
+C;X5;K16000
+C;X6;K8000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y24;X1;K46000000
+C;X2;K"1P-187 (HOUSING)              "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y25;X1;K46311000
+C;X2;K"ELP-02V                       "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y26;X1;K46320000
+C;X2;K"ELR-02V                       "
+C;X3;K0
+C;X4;K500
+C;X5;K0
+C;X6;K500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y27;X1;K46405000
+C;X2;K"ZLP-02V                       "
+C;X3;K8000
+C;X4;K8000
+C;X5;K5000
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y28;X1;K46408000
+C;X2;K"ZLP-08V                       "
+C;X3;K8000
+C;X4;K8000
+C;X5;K5000
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y29;X1;K46415000
+C;X2;K"ZLR-02V                       "
+C;X3;K1500
+C;X4;K1000
+C;X5;K1500
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y30;X1;K46429000
+C;X2;K"YLR-02V                       "
+C;X3;K10000
+C;X4;K10000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y31;X1;K46431000
+C;X2;K"YLR-04V                       "
+C;X3;K6000
+C;X4;K6000
+C;X5;K6000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y32;X1;K46432000
+C;X2;K"YLR-06V                       "
+C;X3;K5000
+C;X4;K5000
+C;X5;K5000
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y33;X1;K46433000
+C;X2;K"YLR-08V                       "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K1500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y34;X1;K46445000
+C;X2;K"YLR-12VA                      "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y35;X1;K46447000
+C;X2;K"YLR-16V                       "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y36;X1;K46464000
+C;X2;K"SLP-02V                       "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y37;X1;K46474000
+C;X2;K"SLR-02VF                      "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y38;X1;K46520000
+C;X2;K"HLP-02V                       "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y39;X1;K46521000
+C;X2;K"HLP-03V                       "
+C;X3;K15000
+C;X4;K15000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y40;X1;K46522000
+C;X2;K"HLP-04V                       "
+C;X3;K60000
+C;X4;K60000
+C;X5;K50000
+C;X6;K50000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y41;X1;K46530000
+C;X2;K"HLR-02V                       "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y42;X1;K46531000
+C;X2;K"HLR-03V                       "
+C;X3;K10000
+C;X4;K10000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y43;X1;K46533000
+C;X2;K"HLR-08V                       "
+C;X3;K1500
+C;X4;K1500
+C;X5;K1500
+C;X6;K1500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y44;X1;K46535000
+C;X2;K"HLR-04VF                      "
+C;X3;K100000
+C;X4;K100000
+C;X5;K75000
+C;X6;K75000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y45;X1;K46545000
+C;X2;K"VLP-03V                       "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y46;X1;K46547000
+C;X2;K"VLP-04V                       "
+C;X3;K1500
+C;X4;K1500
+C;X5;K1500
+C;X6;K1500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y47;X1;K46548000
+C;X2;K"VLP-06V                       "
+C;X3;K500
+C;X4;K500
+C;X5;K500
+C;X6;K500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y48;X1;K46553000
+C;X2;K"VLR-03V                       "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y49;X1;K46554000
+C;X2;K"VLR-02V                       "
+C;X3;K8000
+C;X4;K8000
+C;X5;K8000
+C;X6;K8000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y50;X1;K46555000
+C;X2;K"VLR-04V                       "
+C;X3;K1500
+C;X4;K1500
+C;X5;K1500
+C;X6;K1500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y51;X1;K46571000
+C;X2;K"XMP-12V                       "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y52;X1;K46576000
+C;X2;K"XMP-18V                       "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y53;X1;K46580000
+C;X2;K"XMP-02V                       "
+C;X3;K10000
+C;X4;K10000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y54;X1;K46581000
+C;X2;K"XMP-03V                       "
+C;X3;K0
+C;X4;K50000
+C;X5;K0
+C;X6;K50000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y55;X1;K46583000
+C;X2;K"XMP-05V                       "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y56;X1;K46584000
+C;X2;K"XMP-06V                       "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y57;X1;K46587000
+C;X2;K"XMP-09V                       "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y58;X1;K46590000
+C;X2;K"XMR-02V                       "
+C;X3;K15000
+C;X4;K15000
+C;X5;K15000
+C;X6;K15000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y59;X1;K46591000
+C;X2;K"XMR-03V                       "
+C;X3;K150000
+C;X4;K150000
+C;X5;K150000
+C;X6;K150000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y60;X1;K46593000
+C;X2;K"XMR-05V                       "
+C;X3;K1500
+C;X4;K1500
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y61;X1;K46594000
+C;X2;K"XMR-06V                       "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y62;X1;K46597000
+C;X2;K"XMR-09V                       "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y63;X1;K46601000
+C;X2;K"XMR-12V                       "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y64;X1;K46606000
+C;X2;K"XMR-18V                       "
+C;X3;K500
+C;X4;K0
+C;X5;K500
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y65;X1;K46610000
+C;X2;K"YLP-02V (NN)                  "
+C;X3;K15000
+C;X4;K15000
+C;X5;K15000
+C;X6;K15000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y66;X1;K46611000
+C;X2;K"YLP-03V (NN)                  "
+C;X3;K1000
+C;X4;K0
+C;X5;K1000
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y67;X1;K46612000
+C;X2;K"YLP-04V (NN)                  "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y68;X1;K46613000
+C;X2;K"YLP-06V (NN)                  "
+C;X3;K2500
+C;X4;K2500
+C;X5;K2500
+C;X6;K2500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y69;X1;K46613600
+C;X2;K"YLP-08V (NN)                  "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y70;X1;K46614200
+C;X2;K"YLP-09V (NN)                  "
+C;X3;K1000
+C;X4;K1000
+C;X5;K1000
+C;X6;K500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y71;X1;K46615400
+C;X2;K"YLP-12VA (NN)                 "
+C;X3;K400
+C;X4;K200
+C;X5;K200
+C;X6;K200
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y72;X1;K46616500
+C;X2;K"YLP-16V(NN)                   "
+C;X3;K2000
+C;X4;K2000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y73;X1;K46636100
+C;X2;K"VLP-04VN-1                    "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y74;X1;K47235000
+C;X2;K"VHR-3M                        "
+C;X3;K1000
+C;X4;K0
+C;X5;K1000
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y75;X1;K47237000
+C;X2;K"VHR-5M                        "
+C;X3;K8000
+C;X4;K8000
+C;X5;K8000
+C;X6;K8000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y76;X1;K47238000
+C;X2;K"VHR-6M                        "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y77;X1;K47239000
+C;X2;K"VHR-7M                        "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y78;X1;K47241000
+C;X2;K"VHR-9M                        "
+C;X3;K5000
+C;X4;K5000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y79;X1;K47623000
+C;X2;K"XAP-15V-1                     "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y80;X1;K47692800
+C;X2;K"XADRP-24V                     "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y81;X1;K47695200
+C;X2;K"XADRP-36V                     "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y82;X1;K47696000
+C;X2;K"XADRP-40V                     "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y83;X1;K47719900
+C;X2;K"PAP-02V-S                     "
+C;X3;K5000
+C;X4;K5000
+C;X5;K5000
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y84;X1;K47735600
+C;X2;K"PADP-24V-1-S                  "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y85;X1;K47854700
+C;X2;K"PNDP-24V-Z                    "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y86;X1;K67004500
+C;X2;K"(W)SPS-51T-187-8              "
+C;X3;K7500
+C;X4;K7500
+C;X5;K7500
+C;X6;K7500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y87;X1;K67011000
+C;X2;K"(W)SFPS-41T-187               "
+C;X3;K5000
+C;X4;K0
+C;X5;K5000
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y88;X1;K67011500
+C;X2;K"(W)SFPS-61T-250               "
+C;X3;K12000
+C;X4;K12000
+C;X5;K6000
+C;X6;K6000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y89;X1;K67054200
+C;X2;K"(W)VHR-7N                     "
+C;X3;K15000
+C;X4;K15000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y90;X1;K67055200
+C;X2;K"(W)VHR-8N                     "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y91;X1;K67056400
+C;X2;K"(W)VHR-10N                    "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y92;X1;K68085500
+C;X2;K"(W)PHR-2                      "
+C;X3;K30000
+C;X4;K30000
+C;X5;K30000
+C;X6;K15000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y93;X1;K68087500
+C;X2;K"(W)PHR-3                      "
+C;X3;K20000
+C;X4;K20000
+C;X5;K20000
+C;X6;K15000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y94;X1;K68191000
+C;X2;K"(W)SPH-002T-N0.5S             "
+C;X3;K100000
+C;X4;K100000
+C;X5;K100000
+C;X6;K100000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y95;X1;K68269700
+C;X2;K"(W)SVH-21T-P1.1               "
+C;X3;K100000
+C;X4;K100000
+C;X5;K100000
+C;X6;K100000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y96;X1;K68500000
+C;X2;K"(G)SXH-001T-P0.6              "
+C;X3;K200000
+C;X4;K200000
+C;X5;K200000
+C;X6;K200000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y97;X1;K68513500
+C;X2;K"(G)XAP-02V-1                  "
+C;X3;K50000
+C;X4;K50000
+C;X5;K50000
+C;X6;K50000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y98;X1;K68517000
+C;X2;K"(G)XAP-04V-1                  "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y99;X1;K68518200
+C;X2;K"(G)XAP-05V-1                  "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y100;X1;K68519400
+C;X2;K"(G)XAP-06V-1                  "
+C;X3;K3000
+C;X4;K3000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y101;X1;K68522000
+C;X2;K"(G)XAP-08V-1                  "
+C;X3;K10000
+C;X4;K10000
+C;X5;K10000
+C;X6;K10000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y102;X1;K68523200
+C;X2;K"(G)XAP-09V-1                  "
+C;X3;K2000
+C;X4;K2000
+C;X5;K1000
+C;X6;K1000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y103;X1;K68524400
+C;X2;K"(G)XAP-10V-1                  "
+C;X3;K5000
+C;X4;K5000
+C;X5;K5000
+C;X6;K5000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y104;X1;K68525600
+C;X2;K"(G)XAP-11V-1                  "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y105;X1;K68526800
+C;X2;K"(G)XAP-12V-1                  "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y106;X1;K68528600
+C;X2;K"(G)XAP-13V-1                  "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y107;X1;K68529600
+C;X2;K"(G)XAP-14V-1                  "
+C;X3;K3000
+C;X4;K3000
+C;X5;K3000
+C;X6;K3000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y108;X1;K68533000
+C;X2;K"(G)XAP-15V-1                  "
+C;X3;K2000
+C;X4;K2000
+C;X5;K2000
+C;X6;K2000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y109;X1;K68534200
+C;X2;K"(G)XAP-20V-1                  "
+C;X3;K500
+C;X4;K500
+C;X5;K500
+C;X6;K500
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y110;X1;K68540000
+C;X2;K"(G)XHP-2                      "
+C;X3;K10000
+C;X4;K0
+C;X5;K10000
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y111;X1;K68544000
+C;X2;K"(G)XHP-4                      "
+C;X3;K30000
+C;X4;K30000
+C;X5;K30000
+C;X6;K30000
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+C;Y112;X1;K68804000
+C;X2;K"(Q)SVH-41T-P1.1               "
+C;X3;K0
+C;X4;K0
+C;X5;K0
+C;X6;K0
+C;X7;K0
+C;X8;K"C070037"
+C;X9;K"13 NOV 06 ED"
+C;X10;K"15 FEB 07 ED"
+E
diff --git a/sc/qa/unit/data/slk/pass/ooo80484-1.slk b/sc/qa/unit/data/slk/pass/ooo80484-1.slk
new file mode 100644
index 0000000..b86d5ba
--- /dev/null
+++ b/sc/qa/unit/data/slk/pass/ooo80484-1.slk
@@ -0,0 +1,11 @@
+ID;PXL;N;E
P;PGeneral
P;P0
P;P0.00
P;P#,##0
P;P#,##0.00
P;P"$"#,##0 ;;("$"#,##0)
P;P"$"#,##0.00 ;;("$"#,##0.00)
P;P0%
P;P0.00%
P;P0.00E+00
P;Pm/d/yy
P;Pd-mmm-yy
P;Pd-mmm
P;Pmmm-yy
P;Ph:mm AM/PM
P;Ph:mm:ss AM/PM
P;Ph:mm
P;Ph:mm:ss
P;Pm/d/yy h:mm
F;P0;DG0G10;N3 10
B;Y11;X10
O;L
F;W1 1 9
+F;W2 2 10
+F;W3 3 10
+F;W4 4 10
+F;W5 5 10
+F;W6 6 10
+F;W7 7 10
+F;W8 8 10
+F;W9 9 10
+F;W10 10 10
+F;P0;FG0C;Y1;X10
C;K"Overall"
F;P0;FG0C;Y2;X1
C;K"Category"
F;P10;FG0C;X2
C;K37651
F;P10;FG0C;X3
C;K37679
F;P10;FG0C;X4
C;K37710
F;P10;FG0C;X5
C;K37740
F;P10;FG0C;X6
C;K37771
F;P10;FG0C;X7
C;K37801
F;P10;FG0C;X8
C;K37832
F;P10;FG0C;X9
C;K37840
F;P0;FG0C;X10
C;K"Total"
F;P0;FG0L;Y3;X1
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X2
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X3
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X4
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X5
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X6
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X7
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X8
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X9
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X10
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;Y4;X1
C;K"Outflows"
F;P0;FG0L;Y5;X1
C;K"Auto:"
F;P0;FG0L;Y6;X1
C;K"Fuel"
F;P4;FF2R;X2
C;K54.43
F;P4;FF2R;X3
C;K0.00
F;P4;FF2R;X4
C;K285.52
F;P4;FF2R;X5
C;K100.00
F;P4;FF2R;X6
C;K246.89
F;P4;FF2R;X7
C;K214.04
F;P4;FF2R;X8
C;K99.76
F;P4;FF2R;X9
C;K100.00
F;P4;FF2R;X10
C;K1100.64
F;P0;FG0L;Y7;X2
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X3
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X4
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X5
C;K"ÑÑÑÑ
 ÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X6
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X7
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X8
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X9
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X10
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;Y8;X1
C;K"Total Auto"
F;P4;FF2R;X2
C;K54.43
F;P4;FF2R;X3
C;K0.00
F;P4;FF2R;X4
C;K285.52
F;P4;FF2R;X5
C;K100.00
F;P4;FF2R;X6
C;K246.89
F;P4;FF2R;X7
C;K214.04
F;P4;FF2R;X8
C;K99.76
F;P4;FF2R;X9
C;K100.00
F;P4;FF2R;X10
C;K1100.64
F;P0;FG0L;Y9;X2
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X3
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X4
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X5
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X6
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X7
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X8
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X9
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;X10
C;K"ÑÑÑÑÑÑÑÑÑÑÑÑ"
F;P0;FG0L;Y10;X1
C;K"Total Outflows"
F;P4;FF2R;X2
C;K54.43
F;P4;FF2R;X3
C;K0.00
F;P4;FF2R;X4
C;K285.52
F;P4;FF2R;X5
C;K100.00
F;P4;FF2R;X6
C;K246.89
F;P4;FF2R;X7
C;K214.04
F;P4;FF2R;X8
C;K99.76
F;P4;FF2R;X9
C;K100.00
F;P4;FF2R;X10
C;K1100.64
F;P0;FG0L;Y11;X2
C;K"============"
F;P0;FG0L;X3
C;K"=========
 ==="
F;P0;FG0L;X4
C;K"============"
F;P0;FG0L;X5
C;K"============"
F;P0;FG0L;X6
C;K"============"
F;P0;FG0L;X7
C;K"============"
F;P0;FG0L;X8
C;K"============"
F;P0;FG0L;X9
C;K"============"
F;P0;FG0L;X10
C;K"============"
E
\ No newline at end of file
diff --git a/sc/qa/unit/filters-test.cxx b/sc/qa/unit/filters-test.cxx
index efe93aa..288249c 100644
--- a/sc/qa/unit/filters-test.cxx
+++ b/sc/qa/unit/filters-test.cxx
@@ -164,6 +164,19 @@ void FiltersTest::testCVEs()
 
     recursiveScan(rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("Quattro Pro 6.0")),
         m_aSrcRoot + rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("/clone/calc/sc/qa/unit/data/qpro/indeterminate")), rtl::OUString(), indeterminate);
+
+    //warning, the current "sylk filter" in sc (docsh.cxx) automatically
+    //chains on failure on trying as csv, rtf, etc. so "success" may
+    //not indicate that it imported as .slk.
+    recursiveScan(rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("SYLK")),
+        m_aSrcRoot + rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("/clone/calc/sc/qa/unit/data/slk/pass")), rtl::OUString(), true);
+
+    recursiveScan(rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("SYLK")),
+        m_aSrcRoot + rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("/clone/calc/sc/qa/unit/data/slk/fail")), rtl::OUString(), false);
+
+    recursiveScan(rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("SYLK")),
+        m_aSrcRoot + rtl::OUString(RTL_CONSTASCII_USTRINGPARAM("/clone/calc/sc/qa/unit/data/slk/indeterminate")), rtl::OUString(), indeterminate);
+
 }
 
 FiltersTest::FiltersTest()
commit e7b3d2cf3fa2210b29965190fe70435f0bdb97c9
Author: Matus Kukan <matus.kukan at gmail.com>
Date:   Sun Jul 3 12:36:54 2011 +0200

    Remove component_getImplementationEnvironment

diff --git a/chart2/source/controller/main/_serviceregistration_controller.cxx b/chart2/source/controller/main/_serviceregistration_controller.cxx
index 01d2c0b..61f38d5 100644
--- a/chart2/source/controller/main/_serviceregistration_controller.cxx
+++ b/chart2/source/controller/main/_serviceregistration_controller.cxx
@@ -102,13 +102,6 @@ static struct ::cppu::ImplementationEntry g_entries_chart2_controller[] =
 // component exports
 extern "C"
 {
-//==================================================================================================
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-//==================================================================================================
 SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
     const sal_Char * pImplName, void * pServiceManager, void * pRegistryKey )
 {
diff --git a/chart2/source/inc/exports.dxp b/chart2/source/inc/exports.dxp
index f0e1c69..7003307 100644
--- a/chart2/source/inc/exports.dxp
+++ b/chart2/source/inc/exports.dxp
@@ -1,2 +1 @@
-component_getImplementationEnvironment
 component_getFactory
diff --git a/chart2/source/model/main/_serviceregistration_model.cxx b/chart2/source/model/main/_serviceregistration_model.cxx
index e7f082d..af94d29 100644
--- a/chart2/source/model/main/_serviceregistration_model.cxx
+++ b/chart2/source/model/main/_serviceregistration_model.cxx
@@ -185,13 +185,6 @@ static struct ::cppu::ImplementationEntry g_entries_chart2_model[] =
 // component exports
 extern "C"
 {
-//==================================================================================================
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-//==================================================================================================
 SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
     const sal_Char * pImplName, void * pServiceManager, void * pRegistryKey )
 {
diff --git a/chart2/source/tools/_serviceregistration_tools.cxx b/chart2/source/tools/_serviceregistration_tools.cxx
index 588e1bc..4455485 100644
--- a/chart2/source/tools/_serviceregistration_tools.cxx
+++ b/chart2/source/tools/_serviceregistration_tools.cxx
@@ -177,13 +177,6 @@ static struct ::cppu::ImplementationEntry g_entries_chart2_tools[] =
 // component exports
 extern "C"
 {
-//==================================================================================================
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-//==================================================================================================
 SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
     const sal_Char * pImplName, void * pServiceManager, void * pRegistryKey )
 {
diff --git a/chart2/source/view/main/_serviceregistration_view.cxx b/chart2/source/view/main/_serviceregistration_view.cxx
index 1d1b12d..20c7e0a 100644
--- a/chart2/source/view/main/_serviceregistration_view.cxx
+++ b/chart2/source/view/main/_serviceregistration_view.cxx
@@ -48,13 +48,6 @@ static struct ::cppu::ImplementationEntry g_entries_chart2_view[] =
 // component exports
 extern "C"
 {
-//==================================================================================================
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-//==================================================================================================
 SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
     const sal_Char * pImplName, void * pServiceManager, void * pRegistryKey )
 {
diff --git a/chart2/workbench/addin/exports.dxp b/chart2/workbench/addin/exports.dxp
index 9630d7e..51703a0 100644
--- a/chart2/workbench/addin/exports.dxp
+++ b/chart2/workbench/addin/exports.dxp
@@ -1,3 +1,2 @@
-component_getImplementationEnvironment
 component_writeInfo
 component_getFactory
diff --git a/chart2/workbench/addin/sampleaddin.cxx b/chart2/workbench/addin/sampleaddin.cxx
index 5f38b79..7770628 100644
--- a/chart2/workbench/addin/sampleaddin.cxx
+++ b/chart2/workbench/addin/sampleaddin.cxx
@@ -44,12 +44,6 @@ using ::rtl::OUString;
 
 extern "C" {
 
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /*ppEnv*/ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-
 sal_Bool SAL_CALL component_writeInfo(
     void * /*pServiceManager*/, registry::XRegistryKey * pRegistryKey )
 {
diff --git a/chart2/workbench/addin/sampleaddin.def b/chart2/workbench/addin/sampleaddin.def
index 78cb684..b13949b 100644
--- a/chart2/workbench/addin/sampleaddin.def
+++ b/chart2/workbench/addin/sampleaddin.def
@@ -2,6 +2,5 @@ LIBRARY sampleaddin
 DESCRIPTION 'Sample Chart AddIn'
 HEAPSIZE 0
 EXPORTS
-component_getImplementationEnvironment
 component_writeInfo
 component_getFactory
diff --git a/sc/source/filter/excel/xestream.cxx b/sc/source/filter/excel/xestream.cxx
index ae27b99..a6de511 100644
--- a/sc/source/filter/excel/xestream.cxx
+++ b/sc/source/filter/excel/xestream.cxx
@@ -1191,11 +1191,6 @@ extern "C"
 {
 #endif
 
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment( const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-
 // ------------------------
 // - component_getFactory -
 // ------------------------
diff --git a/sc/source/ui/unoobj/appluno.cxx b/sc/source/ui/unoobj/appluno.cxx
index d32d547..dbff0f2 100644
--- a/sc/source/ui/unoobj/appluno.cxx
+++ b/sc/source/ui/unoobj/appluno.cxx
@@ -186,12 +186,6 @@ SC_SIMPLE_SERVICE_INFO( ScSpreadsheetSettings, "ScSpreadsheetSettings", SCSPREAD
 
 extern "C" {
 
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** /* ppEnv */ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-
 SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
     const sal_Char * pImplName, void * pServiceManager, void * /* pRegistryKey */ )
 {
diff --git a/sc/source/ui/unoobj/detreg.cxx b/sc/source/ui/unoobj/detreg.cxx
index 73cf398..c4dcf61 100644
--- a/sc/source/ui/unoobj/detreg.cxx
+++ b/sc/source/ui/unoobj/detreg.cxx
@@ -43,13 +43,6 @@ using namespace ::com::sun::star::lang;
 
 extern "C" {
 
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-        const  sal_Char**   ppEnvironmentTypeName,
-        uno_Environment**   /* ppEnvironment */           )
-{
-    *ppEnvironmentTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME ;
-}
-
 SAL_DLLPUBLIC_EXPORT void* SAL_CALL component_getFactory( const sal_Char* pImplementationName,
                                      void* pServiceManager,
                                      void* /* pRegistryKey */ )
diff --git a/sc/source/ui/vba/service.cxx b/sc/source/ui/vba/service.cxx
index 56ed8a3..e086afa 100644
--- a/sc/source/ui/vba/service.cxx
+++ b/sc/source/ui/vba/service.cxx
@@ -78,13 +78,6 @@ extern sdecl::ServiceDecl const serviceDecl;
 
 extern "C"
 {
-    SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-        const sal_Char ** ppEnvTypeName, uno_Environment ** /*ppEnv*/ )
-    {
-        OSL_TRACE("In component_getImplementationEnv");
-        *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-    }
-
     SAL_DLLPUBLIC_EXPORT void * SAL_CALL component_getFactory(
         const sal_Char * pImplName, lang::XMultiServiceFactory * pServiceManager,
         registry::XRegistryKey * pRegistryKey )
diff --git a/sc/workben/addin.cxx b/sc/workben/addin.cxx
index 96546a2..9500a9f 100644
--- a/sc/workben/addin.cxx
+++ b/sc/workben/addin.cxx
@@ -63,12 +63,6 @@ SMART_UNO_IMPLEMENTATION( ScTestAddIn, UsrObject );
 
 extern "C" {
 
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment(
-    const sal_Char ** ppEnvTypeName, uno_Environment ** ppEnv )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-
 sal_Bool SAL_CALL component_writeInfo(
     void * pServiceManager, registry::XRegistryKey * pRegistryKey )
 {
diff --git a/sc/workben/makefile.mk b/sc/workben/makefile.mk
index ba5ebbb..99005c2 100644
--- a/sc/workben/makefile.mk
+++ b/sc/workben/makefile.mk
@@ -89,7 +89,6 @@ $(MISC)$/$(SHL1TARGET).def:  makefile.mk
     @echo DATA        READ WRITE NONSHARED                          >>$@
 .ENDIF
     @echo EXPORTS                                                   >>$@
-    @echo   component_getImplementationEnvironment @24              >>$@
     @echo   component_writeInfo @25                                 >>$@
     @echo   component_getFactory @26                                >>$@
 .ENDIF
diff --git a/scaddins/source/analysis/analysis.cxx b/scaddins/source/analysis/analysis.cxx
index 46e8b7c..3087ca6 100644
--- a/scaddins/source/analysis/analysis.cxx
+++ b/scaddins/source/analysis/analysis.cxx
@@ -55,12 +55,6 @@ using namespace                 ::com::sun::star;
 
 extern "C" {
 
-
-SAL_DLLPUBLIC_EXPORT void SAL_CALL component_getImplementationEnvironment( const sal_Char** ppEnvTypeName, uno_Environment** /*ppEnv*/ )
-{
-    *ppEnvTypeName = CPPU_CURRENT_LANGUAGE_BINDING_NAME;
-}
-
 SAL_DLLPUBLIC_EXPORT void* SAL_CALL component_getFactory( const sal_Char* pImplName, void* pServiceManager, void* /*pRegistryKey*/ )
 {
     void*									pRet = 0;

... etc. - the rest is truncated


More information about the Libreoffice-commits mailing list