[systemd-devel] Feature request: randomly delay scheduled jobs

Tollef Fog Heen tfheen at err.no
Fri Feb 8 00:17:58 PST 2013


]] Lennart Poettering 

> What I am a bit unsure about still though is whether we should add this
> jitter by default to all timer units, dependending on "how precise" the
> time specification was. i.e. if the user specifies a time to the second,
> then add jitter of < 1s to it, if he specified a time to the minute,
> then add jitter of < 1min to it, and so on. All that of course only if
> the user didn't explicitly turn off any kind of jitter with some
> unit setting, or set an explicit jitter range.

Please no, it should be opt-in.  Having it randomly add a jitter of up
to a minute would be confusing.

> Also, maybe we want the jitter range width to be linear or logarithmic
> to the specified precision of the time event? And do we want Gauss or
> uniform distribution?

Linear.  This is usually to prevent load spikes on other hosts, at least
how I use it.

-- 
Tollef Fog Heen
UNIX is user friendly, it's just picky about who its friends are


More information about the systemd-devel mailing list